aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-03-20 07:45:54 +0100
committerTristan Gingold <tgingold@free.fr>2021-03-20 07:45:54 +0100
commitafc8adf6ef164beccc258246ab8eda72bf63d088 (patch)
tree8e8da92557ecbf4582b40a7c6640e9356b6d89dd /testsuite/gna
parent51100708e8a9a825bca006aadbe1e86250dd4a60 (diff)
downloadghdl-afc8adf6ef164beccc258246ab8eda72bf63d088.tar.gz
ghdl-afc8adf6ef164beccc258246ab8eda72bf63d088.tar.bz2
ghdl-afc8adf6ef164beccc258246ab8eda72bf63d088.zip
testsuite/gna: add a test for #1691
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1691/ent.vhdl11
-rwxr-xr-xtestsuite/gna/issue1691/testsuite.sh11
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1691/ent.vhdl b/testsuite/gna/issue1691/ent.vhdl
new file mode 100644
index 000000000..4ca3f181c
--- /dev/null
+++ b/testsuite/gna/issue1691/ent.vhdl
@@ -0,0 +1,11 @@
+entity ent is
+end entity ent;
+
+architecture beh of ent is
+ function test
+ return time is
+ begin
+ return now;
+ end function;
+begin
+end architecture beh;
diff --git a/testsuite/gna/issue1691/testsuite.sh b/testsuite/gna/issue1691/testsuite.sh
new file mode 100755
index 000000000..bda8f5d14
--- /dev/null
+++ b/testsuite/gna/issue1691/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"