aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-04-22 07:59:10 +0200
committerTristan Gingold <tgingold@free.fr>2022-04-22 07:59:10 +0200
commit791ff0c17bcaa8192ce25beda4392246fda9e8f7 (patch)
treed3065e59a880d3238ec6f4be96d5e000d77c0bad /testsuite/gna
parentf4ae3a544fcf718802aac3aa59f9b11bd8387b21 (diff)
downloadghdl-791ff0c17bcaa8192ce25beda4392246fda9e8f7.tar.gz
ghdl-791ff0c17bcaa8192ce25beda4392246fda9e8f7.tar.bz2
ghdl-791ff0c17bcaa8192ce25beda4392246fda9e8f7.zip
testsuite/gna: add tests for previous commit
Diffstat (limited to 'testsuite/gna')
-rwxr-xr-xtestsuite/gna/bug0130/testsuite.sh15
-rw-r--r--testsuite/gna/bug0130/word_entity.vhdl14
-rw-r--r--testsuite/gna/bug0130/word_entity1.vhdl13
-rw-r--r--testsuite/gna/bug0130/word_generic_pkg.vhdl5
4 files changed, 47 insertions, 0 deletions
diff --git a/testsuite/gna/bug0130/testsuite.sh b/testsuite/gna/bug0130/testsuite.sh
new file mode 100755
index 000000000..06457fac8
--- /dev/null
+++ b/testsuite/gna/bug0130/testsuite.sh
@@ -0,0 +1,15 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure word_entity.vhdl
+
+analyze word_generic_pkg.vhdl
+analyze word_entity.vhdl
+
+analyze_failure word_entity1.vhdl
+
+clean
+
+echo "Test successful"
diff --git a/testsuite/gna/bug0130/word_entity.vhdl b/testsuite/gna/bug0130/word_entity.vhdl
new file mode 100644
index 000000000..f619d8c8b
--- /dev/null
+++ b/testsuite/gna/bug0130/word_entity.vhdl
@@ -0,0 +1,14 @@
+
+entity word_entity is
+ generic (
+ package word40 is new work.word_generic_pkg
+ generic map (
+ LENGTH => 40
+ )
+ );
+end entity;
+
+architecture foo of word_entity is
+begin
+end architecture;
+
diff --git a/testsuite/gna/bug0130/word_entity1.vhdl b/testsuite/gna/bug0130/word_entity1.vhdl
new file mode 100644
index 000000000..0e6052f44
--- /dev/null
+++ b/testsuite/gna/bug0130/word_entity1.vhdl
@@ -0,0 +1,13 @@
+
+entity word_entity is
+ generic (
+ package word40 is new work.word_generic_pkg
+ generic map (
+ WIDTH => 40 -- not a generic in word_generic_pkg
+ )
+ );
+end entity;
+
+architecture foo of word_entity is
+begin
+end architecture;
diff --git a/testsuite/gna/bug0130/word_generic_pkg.vhdl b/testsuite/gna/bug0130/word_generic_pkg.vhdl
new file mode 100644
index 000000000..bd09d9e1c
--- /dev/null
+++ b/testsuite/gna/bug0130/word_generic_pkg.vhdl
@@ -0,0 +1,5 @@
+
+package word_generic_pkg is
+ generic (length: natural := 32);
+subtype word is bit_vector (length - 1 downto 0);
+end package;