aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-20 07:36:58 +0100
committerTristan Gingold <tgingold@free.fr>2022-02-05 17:06:03 +0100
commit74c6885ae93ddaf061c7728382e2db75328a3023 (patch)
treed4ed8fb248a6b238dd04add07d6dfa84f4c3524a /testsuite/gna
parentc953b83b8e7957125b14036f9368a6a7acf7f130 (diff)
downloadghdl-74c6885ae93ddaf061c7728382e2db75328a3023.tar.gz
ghdl-74c6885ae93ddaf061c7728382e2db75328a3023.tar.bz2
ghdl-74c6885ae93ddaf061c7728382e2db75328a3023.zip
testsuite/gna: add a reproducer for #1953
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1953/myentity.vhdl13
-rwxr-xr-xtestsuite/gna/issue1953/testsuite.sh10
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue1953/myentity.vhdl b/testsuite/gna/issue1953/myentity.vhdl
new file mode 100644
index 000000000..bbde896cd
--- /dev/null
+++ b/testsuite/gna/issue1953/myentity.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity myEntity is
+end myEntity;
+
+architecture behavioral of myEntity is
+ constant sizeArray : integer := 3;
+ type arrayT is array (0 to sizeArray-1) of std_logic;
+
+ constant myArray : arrayT := ('0','1');
+begin
+end behavioral;
diff --git a/testsuite/gna/issue1953/testsuite.sh b/testsuite/gna/issue1953/testsuite.sh
new file mode 100755
index 000000000..d197a167c
--- /dev/null
+++ b/testsuite/gna/issue1953/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure myentity.vhdl
+
+clean
+
+echo "Test successful"