aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-09 16:02:39 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-09 16:02:39 +0100
commit686be1369e17771c4d179626c20ce7ae5e090edc (patch)
tree85e7bf3dad10fda54a7ecab010a8f3fcd45e7a24 /testsuite/gna
parent25b0414a6bc1b713c404c316785625d530efaea4 (diff)
downloadghdl-686be1369e17771c4d179626c20ce7ae5e090edc.tar.gz
ghdl-686be1369e17771c4d179626c20ce7ae5e090edc.tar.bz2
ghdl-686be1369e17771c4d179626c20ce7ae5e090edc.zip
testsuite/gna: add a test for #1943
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1943/ent.vhdl22
-rwxr-xr-xtestsuite/gna/issue1943/testsuite.sh11
2 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/issue1943/ent.vhdl b/testsuite/gna/issue1943/ent.vhdl
new file mode 100644
index 000000000..645ddae27
--- /dev/null
+++ b/testsuite/gna/issue1943/ent.vhdl
@@ -0,0 +1,22 @@
+entity some_entity is
+end entity;
+
+package some_generic_package is
+ generic (
+ some_param : natural
+ );
+
+ component some_component is
+ end component;
+end package;
+
+package some_package_instance is new work.some_generic_package
+ generic map(
+ 8
+ );
+
+use work.some_package_instance.all;
+
+architecture some_architecture of some_entity is
+begin
+end architecture;
diff --git a/testsuite/gna/issue1943/testsuite.sh b/testsuite/gna/issue1943/testsuite.sh
new file mode 100755
index 000000000..35ef74c3a
--- /dev/null
+++ b/testsuite/gna/issue1943/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate some_entity
+
+clean
+
+echo "Test successful"