aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-12-21 20:56:42 +0100
committerTristan Gingold <tgingold@free.fr>2022-12-21 20:56:42 +0100
commit2e6cf50ff058c46b545385be33f331430f75f5d9 (patch)
treec8d8bc178d566e9149a48b4cf503018a57e7ace9 /testsuite/gna
parentdb9290e7b92232440a93179c779cad4edeff9719 (diff)
downloadghdl-2e6cf50ff058c46b545385be33f331430f75f5d9.tar.gz
ghdl-2e6cf50ff058c46b545385be33f331430f75f5d9.tar.bz2
ghdl-2e6cf50ff058c46b545385be33f331430f75f5d9.zip
testsuite/gna: add a test for #2277
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue2277/ent.vhdl38
-rwxr-xr-xtestsuite/gna/issue2277/testsuite.sh12
2 files changed, 50 insertions, 0 deletions
diff --git a/testsuite/gna/issue2277/ent.vhdl b/testsuite/gna/issue2277/ent.vhdl
new file mode 100644
index 000000000..0b03be8d6
--- /dev/null
+++ b/testsuite/gna/issue2277/ent.vhdl
@@ -0,0 +1,38 @@
+library ieee;
+use ieee.std_logic_1164.all;
+entity test_entity_assert is
+ port (
+ i_clk : in std_ulogic;
+ o_clk : out std_ulogic
+ );
+end entity;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity simple_configuration_specification_2 is
+end entity;
+
+architecture arch of simple_configuration_specification_2 is
+ signal s_clk : std_ulogic;
+
+ component test_entity_assert is
+ port (
+ i_clk : in std_ulogic;
+ o_clk : out std_ulogic
+ );
+ end component;
+
+ -- the following should be parsed
+ for inst_test_entity_assert : test_entity_assert
+ use entity work.test_entity_assert;
+ end for;
+begin
+
+ inst_test_entity_assert : test_entity_assert
+ port map (
+ i_clk => s_clk,
+ o_clk => s_clk
+ );
+
+end architecture;
diff --git a/testsuite/gna/issue2277/testsuite.sh b/testsuite/gna/issue2277/testsuite.sh
new file mode 100755
index 000000000..c1c906697
--- /dev/null
+++ b/testsuite/gna/issue2277/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure ent.vhdl
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+
+clean
+
+echo "Test successful"