aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-09-18 17:40:11 +0200
committerTristan Gingold <tgingold@free.fr>2021-09-18 17:40:11 +0200
commit140ec48166f9c8c478d1433507c632cea80929bc (patch)
tree9eb02d17bb1651fec434d840391f377d210690ae /testsuite/gna
parentc55c477f49dcdeaccd2100cda96635bbc62ea4e6 (diff)
downloadghdl-140ec48166f9c8c478d1433507c632cea80929bc.tar.gz
ghdl-140ec48166f9c8c478d1433507c632cea80929bc.tar.bz2
ghdl-140ec48166f9c8c478d1433507c632cea80929bc.zip
testsuite/gna: add a test for #543
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue543/ent.vhdl10
-rw-r--r--testsuite/gna/issue543/repro1.vhdl14
-rwxr-xr-xtestsuite/gna/issue543/testsuite.sh14
3 files changed, 38 insertions, 0 deletions
diff --git a/testsuite/gna/issue543/ent.vhdl b/testsuite/gna/issue543/ent.vhdl
new file mode 100644
index 000000000..9d0027fc2
--- /dev/null
+++ b/testsuite/gna/issue543/ent.vhdl
@@ -0,0 +1,10 @@
+entity ent is end entity;
+architecture arch of ent is
+ attribute att :integer_vector;
+ constant const :integer := 1;
+ attribute att of const:constant is (2, 3);
+begin
+ assert false
+ report "const'att(0) is " & integer'image(const'att(0))
+ severity note;
+end architecture;
diff --git a/testsuite/gna/issue543/repro1.vhdl b/testsuite/gna/issue543/repro1.vhdl
new file mode 100644
index 000000000..e18861122
--- /dev/null
+++ b/testsuite/gna/issue543/repro1.vhdl
@@ -0,0 +1,14 @@
+entity repro1 is
+end entity;
+
+architecture arch of repro1 is
+ attribute att : integer_vector;
+ constant const : integer := 1;
+ attribute att of const: constant is (2, 3);
+ constant const2 : integer_vector := const'att;
+ constant const3 : integer_vector := const2;
+begin
+ assert false
+ report "const'att(0) is " & integer'image(const3(0))
+ severity note;
+end architecture;
diff --git a/testsuite/gna/issue543/testsuite.sh b/testsuite/gna/issue543/testsuite.sh
new file mode 100755
index 000000000..9ae8123cf
--- /dev/null
+++ b/testsuite/gna/issue543/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate ent
+
+analyze repro1.vhdl
+elab_simulate repro1
+
+clean
+
+echo "Test successful"