aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-06-18 22:40:31 +0200
committerTristan Gingold <tgingold@free.fr>2015-06-18 22:40:31 +0200
commitd08386567e47854722e2b3a92720737837ca0bbd (patch)
tree9195e0e903ca3f2fc5baab03911b5558ffaf6e4e /testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do
parent03f2df0a31ac07711863c9580bc3bc48cbab3a3b (diff)
downloadghdl-d08386567e47854722e2b3a92720737837ca0bbd.tar.gz
ghdl-d08386567e47854722e2b3a92720737837ca0bbd.tar.bz2
ghdl-d08386567e47854722e2b3a92720737837ca0bbd.zip
Add testcase for ticket89.
Diffstat (limited to 'testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do')
-rw-r--r--testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do50
1 files changed, 50 insertions, 0 deletions
diff --git a/testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do b/testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do
new file mode 100644
index 000000000..3343bad50
--- /dev/null
+++ b/testsuite/gna/ticket89/x_ieee_proposed/script/compile_src.do
@@ -0,0 +1,50 @@
+#========================================================================================================================
+# Copyright (c) 2015 by Bitvis AS. All rights reserved.
+#
+# BITVIS UTILITY LIBRARY AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED,
+# INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.
+# IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
+# WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH BITVIS UTILITY LIBRARY.
+#========================================================================================================================
+
+# This file may be called with an argument
+# arg 1: Part directory of this library/module
+
+if {[batch_mode]} {
+ onerror {abort all; exit -f -code 1}
+} else {
+ onerror {abort all}
+}
+
+# Set up part_path and lib_name
+#------------------------------------------------------
+quietly set lib_name "ieee_proposed"
+quietly set part_name "x_ieee_proposed"
+# path from mpf-file in sim
+quietly set part_path "../..//$part_name"
+
+if { [info exists 1] } {
+ # path from this part to target part
+ quietly set part_path "$1/..//$part_name"
+ unset 1
+}
+
+
+
+if {[file exists $part_path/sim/$lib_name]} {
+ file delete -force $part_path/sim/$lib_name
+}
+if {![file exists $part_path/sim]} {
+ file mkdir $part_path/sim
+}
+
+vlib $part_path/sim/$lib_name
+vmap $lib_name $part_path/sim/$lib_name
+
+
+echo "\n\n\n=== Compiling $lib_name source\n"
+vcom -93 -work $lib_name $part_path/src/standard_additions_c.vhdl
+vcom -93 -work $lib_name $part_path/src/standard_textio_additions_c.vhdl
+vcom -93 -work $lib_name $part_path/src/std_logic_1164_additions.vhdl
+vcom -93 -work $lib_name $part_path/src/numeric_std_additions.vhdl
+