aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket83/bug.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-27 20:26:45 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-27 20:26:45 +0200
commit45b6d0b47b3445209c64d66936117e000d6fc403 (patch)
tree094ec418d53d64b3b7ca24a589b21c4ca53b0668 /testsuite/gna/ticket83/bug.vhdl
parente6e48d8d7b38e991d6e79c1dc897277582de2fd0 (diff)
downloadghdl-45b6d0b47b3445209c64d66936117e000d6fc403.tar.gz
ghdl-45b6d0b47b3445209c64d66936117e000d6fc403.tar.bz2
ghdl-45b6d0b47b3445209c64d66936117e000d6fc403.zip
Testcase for ticket 83.
Diffstat (limited to 'testsuite/gna/ticket83/bug.vhdl')
-rw-r--r--testsuite/gna/ticket83/bug.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/ticket83/bug.vhdl b/testsuite/gna/ticket83/bug.vhdl
new file mode 100644
index 000000000..616b4193f
--- /dev/null
+++ b/testsuite/gna/ticket83/bug.vhdl
@@ -0,0 +1,15 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process
+ function foo return string is
+ variable s : string(1 to 1); -- Causes exception
+ begin
+ return (0 => '0');
+ end function;
+ begin
+ wait;
+ end process;
+end architecture;