aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket69
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-19 06:36:28 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-19 06:36:28 +0200
commit51cc0a36b05cc0653d30df349a635f9af16c00cb (patch)
tree99b4fe18132008e0e9ebeee9e35e1ca97a457937 /testsuite/gna/ticket69
parente6155f916a818b1cad10f206e874f4857d871ce0 (diff)
downloadghdl-51cc0a36b05cc0653d30df349a635f9af16c00cb.tar.gz
ghdl-51cc0a36b05cc0653d30df349a635f9af16c00cb.tar.bz2
ghdl-51cc0a36b05cc0653d30df349a635f9af16c00cb.zip
testcase for ticket 69.
Diffstat (limited to 'testsuite/gna/ticket69')
-rw-r--r--testsuite/gna/ticket69/bug.vhdl15
-rw-r--r--testsuite/gna/ticket69/bug2.vhdl15
-rw-r--r--testsuite/gna/ticket69/repro.vhdl19
-rwxr-xr-xtestsuite/gna/ticket69/testsuite.sh12
4 files changed, 61 insertions, 0 deletions
diff --git a/testsuite/gna/ticket69/bug.vhdl b/testsuite/gna/ticket69/bug.vhdl
new file mode 100644
index 000000000..de6b082d0
--- /dev/null
+++ b/testsuite/gna/ticket69/bug.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process
+ variable a,b : unsigned(0 downto 0);
+ begin
+ assert a = b; -- Works
+ assert ieee.numeric_std."="(a, b);
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket69/bug2.vhdl b/testsuite/gna/ticket69/bug2.vhdl
new file mode 100644
index 000000000..51caf3a00
--- /dev/null
+++ b/testsuite/gna/ticket69/bug2.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process
+ variable a,b : unsigned(0 downto 0) := "1";
+ begin
+ assert a = b; -- Works
+ assert ieee.numeric_std."="(a, b);
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket69/repro.vhdl b/testsuite/gna/ticket69/repro.vhdl
new file mode 100644
index 000000000..daf9b472a
--- /dev/null
+++ b/testsuite/gna/ticket69/repro.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture a of ent is
+begin
+ main : process
+ variable a,b : unsigned(0 downto 0) := "1";
+ begin
+ assert a = b; -- Works
+ assert ieee.numeric_std."="(a, b);
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket69/testsuite.sh b/testsuite/gna/ticket69/testsuite.sh
new file mode 100755
index 000000000..bf46cce77
--- /dev/null
+++ b/testsuite/gna/ticket69/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze bug.vhdl
+analyze repro.vhdl
+elab_simulate ent
+
+analyze_failure bug2.vhdl
+clean
+
+echo "Test successful"