aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket66
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-05-15 22:10:31 +0200
committerTristan Gingold <tgingold@free.fr>2015-05-15 22:10:31 +0200
commitc91b6b08c87a829ebb0692e2bc591aa580fb7a8a (patch)
treea89b8bd28ffa0b6e4ef827c32748c3dc30467dab /testsuite/gna/ticket66
parent9f074d32e6f7f57264442e0f8fc7cb058bd99b46 (diff)
downloadghdl-c91b6b08c87a829ebb0692e2bc591aa580fb7a8a.tar.gz
ghdl-c91b6b08c87a829ebb0692e2bc591aa580fb7a8a.tar.bz2
ghdl-c91b6b08c87a829ebb0692e2bc591aa580fb7a8a.zip
Testcase for ticket66.
Diffstat (limited to 'testsuite/gna/ticket66')
-rw-r--r--testsuite/gna/ticket66/bug.vhdl20
-rwxr-xr-xtestsuite/gna/ticket66/testsuite.sh14
2 files changed, 34 insertions, 0 deletions
diff --git a/testsuite/gna/ticket66/bug.vhdl b/testsuite/gna/ticket66/bug.vhdl
new file mode 100644
index 000000000..9289e9326
--- /dev/null
+++ b/testsuite/gna/ticket66/bug.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+ procedure proc(constant value : std_logic_vector) is
+ begin
+ end procedure;
+begin
+ main : process is
+ constant const : unsigned(1 downto 0) := "00";
+ begin
+ proc(std_logic_vector(const));
+ wait;
+ end process;
+end architecture;
+
diff --git a/testsuite/gna/ticket66/testsuite.sh b/testsuite/gna/ticket66/testsuite.sh
new file mode 100755
index 000000000..8598fd091
--- /dev/null
+++ b/testsuite/gna/ticket66/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze bug.vhdl
+elab_simulate ent
+clean
+
+GHDL_STD_FLAGS=--std=08
+analyze bug.vhdl
+elab_simulate ent
+clean
+
+echo "Test successful"