aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket30
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-02-09 20:27:51 +0100
committerTristan Gingold <tgingold@free.fr>2016-02-09 20:27:51 +0100
commit45dba464bc2f69145753e4c9c9b1dde0868ec878 (patch)
tree84ec4ab7344a59c26a175e299d57f594373068d4 /testsuite/gna/ticket30
parentae3865c0cbf1f71f7b8576031a37ac855060677b (diff)
downloadghdl-45dba464bc2f69145753e4c9c9b1dde0868ec878.tar.gz
ghdl-45dba464bc2f69145753e4c9c9b1dde0868ec878.tar.bz2
ghdl-45dba464bc2f69145753e4c9c9b1dde0868ec878.zip
testsuite: check feature before testing it.
Diffstat (limited to 'testsuite/gna/ticket30')
-rwxr-xr-xtestsuite/gna/ticket30/testsuite.sh8
1 files changed, 5 insertions, 3 deletions
diff --git a/testsuite/gna/ticket30/testsuite.sh b/testsuite/gna/ticket30/testsuite.sh
index a8c89444f..0d33bb257 100755
--- a/testsuite/gna/ticket30/testsuite.sh
+++ b/testsuite/gna/ticket30/testsuite.sh
@@ -9,10 +9,12 @@ fi
"$GHDL" -i lib_numeric_tb.vhd
"$GHDL" -m numeric_tb
-simulate numeric_tb --stop-time=10ns --wave=numeric_tb.ghw \
- --sdf=typ==lib_numeric_tb.sdf
+if ghdl_has_feature numeric_tb ghw; then
+ simulate numeric_tb --stop-time=10ns --wave=numeric_tb.ghw \
+ --sdf=typ==lib_numeric_tb.sdf
+fi
clean
-rm numeric_tb.ghw
+rm -f numeric_tb.ghw
echo "Test successful"