aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue874/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-09-17 07:55:49 +0200
committerTristan Gingold <tgingold@free.fr>2021-09-17 07:55:49 +0200
commit4c42ec60b41ee24094d42dc6d05f22f9070327e6 (patch)
tree155950d2bd8793d4abde4156c2f645eabad19029 /testsuite/gna/issue874/testsuite.sh
parent980dc567f9af8d5c2002727620c6d149b31be1a2 (diff)
downloadghdl-4c42ec60b41ee24094d42dc6d05f22f9070327e6.tar.gz
ghdl-4c42ec60b41ee24094d42dc6d05f22f9070327e6.tar.bz2
ghdl-4c42ec60b41ee24094d42dc6d05f22f9070327e6.zip
testsuite/gna: add a test for #874
Diffstat (limited to 'testsuite/gna/issue874/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue874/testsuite.sh11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue874/testsuite.sh b/testsuite/gna/issue874/testsuite.sh
new file mode 100755
index 000000000..fca2b14d5
--- /dev/null
+++ b/testsuite/gna/issue874/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze crash.vhdl
+elab_simulate testbench
+
+clean
+
+echo "Test successful"