aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue71
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-05-25 20:08:18 +0200
committerTristan Gingold <tgingold@free.fr>2016-05-25 20:08:18 +0200
commit374e3a249bedc06156fe72803f04a941e49af7c1 (patch)
tree9ff089cb8a7e87040ad535b6a09edfda9203a0c2 /testsuite/gna/issue71
parent5473292b14c229dca813efc8156f5de4c6a1ddaf (diff)
downloadghdl-374e3a249bedc06156fe72803f04a941e49af7c1.tar.gz
ghdl-374e3a249bedc06156fe72803f04a941e49af7c1.tar.bz2
ghdl-374e3a249bedc06156fe72803f04a941e49af7c1.zip
Add reproducer for issue71
Diffstat (limited to 'testsuite/gna/issue71')
-rw-r--r--testsuite/gna/issue71/repro1.vhdl14
-rwxr-xr-xtestsuite/gna/issue71/testsuite.sh13
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue71/repro1.vhdl b/testsuite/gna/issue71/repro1.vhdl
new file mode 100644
index 000000000..40d9a7e13
--- /dev/null
+++ b/testsuite/gna/issue71/repro1.vhdl
@@ -0,0 +1,14 @@
+entity repro1 is
+end repro1;
+
+architecture behav of repro1 is
+ signal s1, s2 : bit;
+
+ component comp port (i : in bit; o : out bit);
+ end component;
+begin
+
+ s1 <= '1';
+
+ c : comp port map (i => s1, o => s2);
+end behav;
diff --git a/testsuite/gna/issue71/testsuite.sh b/testsuite/gna/issue71/testsuite.sh
new file mode 100755
index 000000000..a6f1e36f4
--- /dev/null
+++ b/testsuite/gna/issue71/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro1.vhdl
+if ghdl_has_feature repro1 fst; then
+ elab_simulate repro1 --fst=w1.fst
+fi
+
+rm -f w1.fst
+clean
+
+echo "Test successful"