aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue652
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-09-16 06:56:15 +0200
committerTristan Gingold <tgingold@free.fr>2018-09-16 06:56:15 +0200
commitbb151c2bd6f6ab39c70c92828c4591ddb6a594a4 (patch)
tree5b177470aa7fb679848e7cc8dfe23f54035198b8 /testsuite/gna/issue652
parent23a9f233058252223acad42674262605886ad42b (diff)
downloadghdl-bb151c2bd6f6ab39c70c92828c4591ddb6a594a4.tar.gz
ghdl-bb151c2bd6f6ab39c70c92828c4591ddb6a594a4.tar.bz2
ghdl-bb151c2bd6f6ab39c70c92828c4591ddb6a594a4.zip
Add testcase #652
Diffstat (limited to 'testsuite/gna/issue652')
-rw-r--r--testsuite/gna/issue652/ent.vhdl35
-rwxr-xr-xtestsuite/gna/issue652/testsuite.sh9
2 files changed, 44 insertions, 0 deletions
diff --git a/testsuite/gna/issue652/ent.vhdl b/testsuite/gna/issue652/ent.vhdl
new file mode 100644
index 000000000..00757ae13
--- /dev/null
+++ b/testsuite/gna/issue652/ent.vhdl
@@ -0,0 +1,35 @@
+entity clock_Generator is
+ port (
+ Clock : out bit
+ );
+end entity;
+
+entity clock_Monitor is
+ port (
+ Clock : in bit
+ );
+end entity;
+
+package clock is
+end package;
+
+
+library Clock;
+use Clock.clock.all;
+
+entity test is
+end entity;
+
+architecture tb of test is
+ signal Clock : bit;
+begin
+ gen: entity Clock.clock_Generator
+ port map (
+ Clock => Clock
+ );
+
+ mon: entity Clock.clock_Monitor
+ port map (
+ Clock => Clock
+ );
+end architecture;
diff --git a/testsuite/gna/issue652/testsuite.sh b/testsuite/gna/issue652/testsuite.sh
new file mode 100755
index 000000000..4ffa83126
--- /dev/null
+++ b/testsuite/gna/issue652/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure --work=clock ent.vhdl
+
+clean
+
+echo "Test successful"