diff options
author | Tristan Gingold <tgingold@free.fr> | 2016-04-02 07:40:37 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2016-04-02 07:40:37 +0200 |
commit | 66e31d6d8c4faab0aeadee87298f13a3b67adbfb (patch) | |
tree | af863500c6e1ddf22321538a6c51247fccd57704 /testsuite/gna/issue50/idct.d/add_175.vhd | |
parent | 122fa90fb7e5c15b58efa100da828c1e879b4e4e (diff) | |
download | ghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.tar.gz ghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.tar.bz2 ghdl-66e31d6d8c4faab0aeadee87298f13a3b67adbfb.zip |
Add testcase for issue50.
Diffstat (limited to 'testsuite/gna/issue50/idct.d/add_175.vhd')
-rw-r--r-- | testsuite/gna/issue50/idct.d/add_175.vhd | 33 |
1 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/issue50/idct.d/add_175.vhd b/testsuite/gna/issue50/idct.d/add_175.vhd new file mode 100644 index 000000000..9cde83c4d --- /dev/null +++ b/testsuite/gna/issue50/idct.d/add_175.vhd @@ -0,0 +1,33 @@ +library ieee; +use ieee.std_logic_1164.all; + +library ieee; +use ieee.numeric_std.all; + +entity add_175 is + port ( + result : out std_logic_vector(26 downto 0); + in_a : in std_logic_vector(26 downto 0); + in_b : in std_logic_vector(26 downto 0) + ); +end add_175; + +architecture augh of add_175 is + + signal carry_inA : std_logic_vector(28 downto 0); + signal carry_inB : std_logic_vector(28 downto 0); + signal carry_res : std_logic_vector(28 downto 0); + +begin + + -- To handle the CI input, the operation is '1' + CI + -- If CI is not present, the operation is '1' + '0' + carry_inA <= '0' & in_a & '1'; + carry_inB <= '0' & in_b & '0'; + -- Compute the result + carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); + + -- Set the outputs + result <= carry_res(27 downto 1); + +end architecture; |