aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue478/repro4.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-08 06:56:55 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-08 06:56:55 +0100
commit7c1a8746d2b4fc076bcb287c8917af750a6b3d58 (patch)
treebb55692015e91a185370bcda0e4f1439c4f2d137 /testsuite/gna/issue478/repro4.vhdl
parent151a1afff5c36a4681820a704cf60922d26df5b5 (diff)
downloadghdl-7c1a8746d2b4fc076bcb287c8917af750a6b3d58.tar.gz
ghdl-7c1a8746d2b4fc076bcb287c8917af750a6b3d58.tar.bz2
ghdl-7c1a8746d2b4fc076bcb287c8917af750a6b3d58.zip
Add testcase for #478
Diffstat (limited to 'testsuite/gna/issue478/repro4.vhdl')
-rw-r--r--testsuite/gna/issue478/repro4.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue478/repro4.vhdl b/testsuite/gna/issue478/repro4.vhdl
new file mode 100644
index 000000000..a32c72287
--- /dev/null
+++ b/testsuite/gna/issue478/repro4.vhdl
@@ -0,0 +1,10 @@
+entity repro4 is
+end;
+
+architecture behav of repro4 is
+begin
+ process
+ begin
+ "foo" (true, false);
+ end process;
+end;