diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-11-22 20:47:08 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-11-22 20:47:08 +0100 |
commit | 3d81a74f66c2440ebde7efc64415d6c5510e94ee (patch) | |
tree | 3e3587bb54d970ac1fcd25a0e83cb9909a70e985 /testsuite/gna/issue473/repro.vhdl | |
parent | 69c5ab150001ae0866aaaca6cbcdf38e0264a296 (diff) | |
download | ghdl-3d81a74f66c2440ebde7efc64415d6c5510e94ee.tar.gz ghdl-3d81a74f66c2440ebde7efc64415d6c5510e94ee.tar.bz2 ghdl-3d81a74f66c2440ebde7efc64415d6c5510e94ee.zip |
Add testcase for #473
Diffstat (limited to 'testsuite/gna/issue473/repro.vhdl')
-rw-r--r-- | testsuite/gna/issue473/repro.vhdl | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue473/repro.vhdl b/testsuite/gna/issue473/repro.vhdl new file mode 100644 index 000000000..a4590b2a4 --- /dev/null +++ b/testsuite/gna/issue473/repro.vhdl @@ -0,0 +1,17 @@ +entity repro is +end entity repro; + +architecture TB of repro is + +begin + + DM: process + type t_ram is array(natural range <>) of bit_vector; + type p_ram is access t_ram; + variable myram : p_ram; + begin + myram := new t_ram(0 to 31)(15 downto 0); + wait; + end process DM; + +end architecture TB; |