diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-01-30 18:13:03 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-01-30 18:13:03 +0100 |
commit | fe8a650f08a4e091a04f063bc1a4f18928a35c9d (patch) | |
tree | d3bae81bdc4a7d1028d4bd737049a1f664bcd91e /testsuite/gna/issue459/e3.vhdl | |
parent | bcc56418d72fb8c96109ce9379e5aa5ce54cad98 (diff) | |
download | ghdl-fe8a650f08a4e091a04f063bc1a4f18928a35c9d.tar.gz ghdl-fe8a650f08a4e091a04f063bc1a4f18928a35c9d.tar.bz2 ghdl-fe8a650f08a4e091a04f063bc1a4f18928a35c9d.zip |
Add testcase for #459
Diffstat (limited to 'testsuite/gna/issue459/e3.vhdl')
-rw-r--r-- | testsuite/gna/issue459/e3.vhdl | 13 |
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue459/e3.vhdl b/testsuite/gna/issue459/e3.vhdl new file mode 100644 index 000000000..3ae23fe54 --- /dev/null +++ b/testsuite/gna/issue459/e3.vhdl @@ -0,0 +1,13 @@ +entity E3 is +end entity; + +architecture A of E3 is + -- array with unconstrained array element type + type A is array(natural range <>) of bit_vector; + + -- partially constrained array -> constrained outer array (vector) + subtype P2 is A(15 downto 0)(open); + + signal S2 : P2(open)(7 downto 0); -- finally constraining the element size line 14 +begin +end architecture; |