aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue449/repro2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-10-27 20:39:14 +0200
committerTristan Gingold <tgingold@free.fr>2017-10-27 20:39:14 +0200
commitc880f680c6fb9d8bf3aee56149a53ea30ded7ffb (patch)
treeb7e12a59e42668959a747344eb21174671fbcd15 /testsuite/gna/issue449/repro2.vhdl
parent6f6746dab83e701f9ccc362b1f9fab2ebc27594a (diff)
downloadghdl-c880f680c6fb9d8bf3aee56149a53ea30ded7ffb.tar.gz
ghdl-c880f680c6fb9d8bf3aee56149a53ea30ded7ffb.tar.bz2
ghdl-c880f680c6fb9d8bf3aee56149a53ea30ded7ffb.zip
Add testcase for #449
Diffstat (limited to 'testsuite/gna/issue449/repro2.vhdl')
-rw-r--r--testsuite/gna/issue449/repro2.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue449/repro2.vhdl b/testsuite/gna/issue449/repro2.vhdl
new file mode 100644
index 000000000..e523d692e
--- /dev/null
+++ b/testsuite/gna/issue449/repro2.vhdl
@@ -0,0 +1,20 @@
+entity asd2 is
+end entity;
+
+architecture aa of asd2 is
+type ia is array (natural range <>) of integer;
+
+function a return ia is
+begin
+return (1,2);
+end function;
+
+function a (s : integer) return integer is
+begin
+return 1;
+end function;
+
+signal ad : integer;
+begin
+ad <= a(1);
+end architecture;