aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue242/test.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-22 06:35:59 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-22 06:35:59 +0100
commit9b22045bb3e1646b3b7c6d866c11f5b2d556beab (patch)
treed9d46b771ccc3c30e5840c398a9544726ba64af9 /testsuite/gna/issue242/test.vhdl
parenta9d7fcca179eb2e33a4a8df57ab3061c876af0c7 (diff)
downloadghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.tar.gz
ghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.tar.bz2
ghdl-9b22045bb3e1646b3b7c6d866c11f5b2d556beab.zip
Add testcase for #242
Diffstat (limited to 'testsuite/gna/issue242/test.vhdl')
-rw-r--r--testsuite/gna/issue242/test.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue242/test.vhdl b/testsuite/gna/issue242/test.vhdl
new file mode 100644
index 000000000..5598c388c
--- /dev/null
+++ b/testsuite/gna/issue242/test.vhdl
@@ -0,0 +1,18 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+
+
+ENTITY test IS
+END ENTITY test;
+
+ARCHITECTURE rtl OF test IS
+
+ TYPE test_data_t IS ARRAY (0 TO 2) OF natural;
+
+ TYPE test_vector_t IS ARRAY (0 TO 7) OF test_data_t;
+
+ CONSTANT C_TEST_VECTOR : test_vector_t := (OTHERS => test_data_t'(0, 0, 0));
+
+BEGIN
+
+END ARCHITECTURE rtl;