aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/pkg5.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-07-02 07:40:14 +0200
committerTristan Gingold <tgingold@free.fr>2022-07-02 07:40:14 +0200
commit87ab6659b16c7c7a3a63cb4d3987aa2a02ae5869 (patch)
tree237dcf1674057fbeb1e1bf7125a72aa5b5669d40 /testsuite/gna/issue2116/pkg5.vhdl
parent9b5de7a92a6ae8980bcaad0d1c87f0938c337f21 (diff)
downloadghdl-87ab6659b16c7c7a3a63cb4d3987aa2a02ae5869.tar.gz
ghdl-87ab6659b16c7c7a3a63cb4d3987aa2a02ae5869.tar.bz2
ghdl-87ab6659b16c7c7a3a63cb4d3987aa2a02ae5869.zip
testsuite/gna: add tests, close #2116
Diffstat (limited to 'testsuite/gna/issue2116/pkg5.vhdl')
-rw-r--r--testsuite/gna/issue2116/pkg5.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/pkg5.vhdl b/testsuite/gna/issue2116/pkg5.vhdl
new file mode 100644
index 000000000..f3da2ed26
--- /dev/null
+++ b/testsuite/gna/issue2116/pkg5.vhdl
@@ -0,0 +1,9 @@
+package gen0 is
+generic(v:natural:=0);function get return natural;end gen0;package body gen0 is
+function get return natural is begin return 0;end get;end gen0;package gen2 is
+generic(package pkg is new work.gen0 generic map(<>));function get2 return natural;end gen2;package body gen2 is
+use pkg.all;function get2 return natural is
+begin
+return get;end get2;end;package p is new work.gen0;package g is new work.gen2 generic map(0);entity b is
+end;architecture behav of b is
+begin end behav; \ No newline at end of file