diff options
author | Tristan Gingold <tgingold@free.fr> | 2016-12-05 03:19:30 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2016-12-05 03:25:22 +0100 |
commit | fc13e0f6103a0da2ee4215036baa77acc2c2d589 (patch) | |
tree | 6961ced3d64be9b77ba79c56eb2342d8ca2fa00a /testsuite/gna/issue201 | |
parent | 5d3ea061ee2741422f7946c62edf65b58fccce48 (diff) | |
download | ghdl-fc13e0f6103a0da2ee4215036baa77acc2c2d589.tar.gz ghdl-fc13e0f6103a0da2ee4215036baa77acc2c2d589.tar.bz2 ghdl-fc13e0f6103a0da2ee4215036baa77acc2c2d589.zip |
Add testcase for #201
Diffstat (limited to 'testsuite/gna/issue201')
-rw-r--r-- | testsuite/gna/issue201/repro.vhdl | 14 | ||||
-rwxr-xr-x | testsuite/gna/issue201/testsuite.sh | 11 |
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue201/repro.vhdl b/testsuite/gna/issue201/repro.vhdl new file mode 100644 index 000000000..3f3ce9ac4 --- /dev/null +++ b/testsuite/gna/issue201/repro.vhdl @@ -0,0 +1,14 @@ +package gen is + generic (type t); +end gen; + +entity e is +end entity; + +architecture a of e is + subtype T_DATA is bit_vector(31 downto 0); + type T_DATA_VECTOR is array(natural range <>) of T_DATA; + + package pkg is new work.gen generic map (t => t_data_vector (31 downto 0)); +begin +end architecture; diff --git a/testsuite/gna/issue201/testsuite.sh b/testsuite/gna/issue201/testsuite.sh new file mode 100755 index 000000000..f4a473727 --- /dev/null +++ b/testsuite/gna/issue201/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze repro.vhdl +elab_simulate e + +clean + +echo "Test successful" |