aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1894
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-10-16 08:26:44 +0200
committerTristan Gingold <tgingold@free.fr>2021-10-16 08:26:44 +0200
commit96d5510026e676d4b490049d20ffe67932a2c7a1 (patch)
tree9c947253a28173aa3ee5b9e459ff9ee6a35241f2 /testsuite/gna/issue1894
parentf137f3e151d4e7fac3a91b35dcce189d453b19cb (diff)
downloadghdl-96d5510026e676d4b490049d20ffe67932a2c7a1.tar.gz
ghdl-96d5510026e676d4b490049d20ffe67932a2c7a1.tar.bz2
ghdl-96d5510026e676d4b490049d20ffe67932a2c7a1.zip
testsuite/gna: add a test for #1894
Diffstat (limited to 'testsuite/gna/issue1894')
-rw-r--r--testsuite/gna/issue1894/repro.vhdl11
-rwxr-xr-xtestsuite/gna/issue1894/testsuite.sh10
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue1894/repro.vhdl b/testsuite/gna/issue1894/repro.vhdl
new file mode 100644
index 000000000..c1218f9e2
--- /dev/null
+++ b/testsuite/gna/issue1894/repro.vhdl
@@ -0,0 +1,11 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type my_long_record_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789 is record
+ element_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789 : bit;
+ end record;
+
+ signal s : my_long_record_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789_0123456789;
+begin
+end behav;
diff --git a/testsuite/gna/issue1894/testsuite.sh b/testsuite/gna/issue1894/testsuite.sh
new file mode 100755
index 000000000..7da7af956
--- /dev/null
+++ b/testsuite/gna/issue1894/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"