aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1837
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-08-24 06:40:49 +0200
committerTristan Gingold <tgingold@free.fr>2021-08-24 06:40:49 +0200
commitf55d29bd6923643e8cd828186bf95818f00aeb5f (patch)
treebe9b03fe9de1c27b491079d390a7737a24168fb6 /testsuite/gna/issue1837
parent291ded5b3b784a8ee5448076360db24079e4f916 (diff)
downloadghdl-f55d29bd6923643e8cd828186bf95818f00aeb5f.tar.gz
ghdl-f55d29bd6923643e8cd828186bf95818f00aeb5f.tar.bz2
ghdl-f55d29bd6923643e8cd828186bf95818f00aeb5f.zip
testsuite/gna: add a test for #1837
Diffstat (limited to 'testsuite/gna/issue1837')
-rw-r--r--testsuite/gna/issue1837/repro.vhdl10
-rwxr-xr-xtestsuite/gna/issue1837/testsuite.sh9
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue1837/repro.vhdl b/testsuite/gna/issue1837/repro.vhdl
new file mode 100644
index 000000000..83182f873
--- /dev/null
+++ b/testsuite/gna/issue1837/repro.vhdl
@@ -0,0 +1,10 @@
+entity e is
+end entity;
+
+architecture a of e is
+begin
+ end: std.env.stop;
+end architecture;
+
+package p is
+end package;
diff --git a/testsuite/gna/issue1837/testsuite.sh b/testsuite/gna/issue1837/testsuite.sh
new file mode 100755
index 000000000..5defdcf1e
--- /dev/null
+++ b/testsuite/gna/issue1837/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure repro.vhdl
+
+clean
+
+echo "Test successful"