aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1831/sipo.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-09-07 06:01:59 +0200
committerTristan Gingold <tgingold@free.fr>2021-09-07 18:20:05 +0200
commit9949e1c081928c7897ce55f29229c3269c22764e (patch)
treeb17d3579735ccbc0ea1dfacf748aea2c357d1202 /testsuite/gna/issue1831/sipo.vhdl
parent3b503f2cfdd213cde2ae84004d1e594398e1a656 (diff)
downloadghdl-9949e1c081928c7897ce55f29229c3269c22764e.tar.gz
ghdl-9949e1c081928c7897ce55f29229c3269c22764e.tar.bz2
ghdl-9949e1c081928c7897ce55f29229c3269c22764e.zip
testsuite/gna: add a test for #1831
Diffstat (limited to 'testsuite/gna/issue1831/sipo.vhdl')
-rw-r--r--testsuite/gna/issue1831/sipo.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue1831/sipo.vhdl b/testsuite/gna/issue1831/sipo.vhdl
new file mode 100644
index 000000000..7a398e0a1
--- /dev/null
+++ b/testsuite/gna/issue1831/sipo.vhdl
@@ -0,0 +1,17 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity SIPO is
+end entity SIPO;
+
+architecture RTL of SIPO is
+ type slv_array_t is array (natural range <>) of std_logic_vector;
+ signal block_reg : slv_array_t(0 to 3)(7 downto 0);
+
+ -- the following works though
+ -- type block_t is array (0 to 3) of std_logic_vector(7 downto 0);
+ -- signal block_reg : block_t;
+begin
+ -- same if in a process
+ block_reg <= block_reg(1 to 3) & block_reg(0);
+end architecture;