aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1724/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-04-15 07:24:37 +0200
committerTristan Gingold <tgingold@free.fr>2021-04-15 07:24:37 +0200
commitdb69b8f4bb4a27971774aa8ac2a93e10383a4b83 (patch)
treec551a6a420f99846719aa74dca5501ecce6e044a /testsuite/gna/issue1724/testsuite.sh
parentdf9f4b18dc47d5a6561da4a26d33ab66bdf4e39b (diff)
downloadghdl-db69b8f4bb4a27971774aa8ac2a93e10383a4b83.tar.gz
ghdl-db69b8f4bb4a27971774aa8ac2a93e10383a4b83.tar.bz2
ghdl-db69b8f4bb4a27971774aa8ac2a93e10383a4b83.zip
testsuite/gna: add test for #1724
Diffstat (limited to 'testsuite/gna/issue1724/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue1724/testsuite.sh9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue1724/testsuite.sh b/testsuite/gna/issue1724/testsuite.sh
new file mode 100755
index 000000000..8da89781d
--- /dev/null
+++ b/testsuite/gna/issue1724/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL -s --std=08 const_test.vhdl const_test_vunit.vhdl
+
+clean
+
+echo "Test successful"