aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1637/show_bug.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-08-06 03:17:40 +0200
committerTristan Gingold <tgingold@free.fr>2021-08-06 03:17:40 +0200
commit8a063dc908baaa5be994f30b4f1d080223d1c5dd (patch)
tree669b4c0b7634c655999cfa3d9a8fe3c3c5f3d100 /testsuite/gna/issue1637/show_bug.vhdl
parent8786de9225898c14fd6a24ff1864aea098650a6d (diff)
downloadghdl-8a063dc908baaa5be994f30b4f1d080223d1c5dd.tar.gz
ghdl-8a063dc908baaa5be994f30b4f1d080223d1c5dd.tar.bz2
ghdl-8a063dc908baaa5be994f30b4f1d080223d1c5dd.zip
testsuite/gna: add a test for #1637
Diffstat (limited to 'testsuite/gna/issue1637/show_bug.vhdl')
-rw-r--r--testsuite/gna/issue1637/show_bug.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue1637/show_bug.vhdl b/testsuite/gna/issue1637/show_bug.vhdl
new file mode 100644
index 000000000..1f9c2a0d6
--- /dev/null
+++ b/testsuite/gna/issue1637/show_bug.vhdl
@@ -0,0 +1,13 @@
+entity show_bug is
+end entity show_bug;
+
+architecture arch of show_bug is
+begin
+
+ process is
+ begin
+ report("a", "b");
+ wait;
+ end process;
+
+end architecture arch;