aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue143/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-08-26 05:01:57 +0200
committerTristan Gingold <tgingold@free.fr>2016-08-26 05:01:57 +0200
commitc7182c8329d493b3a953521fff55e3c635e5cf00 (patch)
tree3efdaf994546d0ea8d9d27480538d09637910f1b /testsuite/gna/issue143/testsuite.sh
parent867de6778790ed2007f5f6e8a18d14619969a257 (diff)
downloadghdl-c7182c8329d493b3a953521fff55e3c635e5cf00.tar.gz
ghdl-c7182c8329d493b3a953521fff55e3c635e5cf00.tar.bz2
ghdl-c7182c8329d493b3a953521fff55e3c635e5cf00.zip
Add testcase for issue #143
Diffstat (limited to 'testsuite/gna/issue143/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue143/testsuite.sh10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue143/testsuite.sh b/testsuite/gna/issue143/testsuite.sh
new file mode 100755
index 000000000..819714d59
--- /dev/null
+++ b/testsuite/gna/issue143/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure universal_conversion_tb.vhd
+analyze_failure repro.vhdl
+
+clean
+
+echo "Test successful"