diff options
author | Tristan Gingold <gingold@adacore.com> | 2016-01-07 19:48:46 +0100 |
---|---|---|
committer | Tristan Gingold <gingold@adacore.com> | 2016-01-07 19:48:46 +0100 |
commit | f18054335bcf3b67731d61ee53e7142ac39ed6f7 (patch) | |
tree | 6c34567b59201990b03a1811ee89a52853a6fce9 /testsuite/gna/issue14/repro1.vhdl | |
parent | 23545327c7801d5b1fa5c9bd520ff243fccceab6 (diff) | |
download | ghdl-f18054335bcf3b67731d61ee53e7142ac39ed6f7.tar.gz ghdl-f18054335bcf3b67731d61ee53e7142ac39ed6f7.tar.bz2 ghdl-f18054335bcf3b67731d61ee53e7142ac39ed6f7.zip |
Add reproducer for issue14
Diffstat (limited to 'testsuite/gna/issue14/repro1.vhdl')
-rw-r--r-- | testsuite/gna/issue14/repro1.vhdl | 10 |
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue14/repro1.vhdl b/testsuite/gna/issue14/repro1.vhdl new file mode 100644 index 000000000..468b6515c --- /dev/null +++ b/testsuite/gna/issue14/repro1.vhdl @@ -0,0 +1,10 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity repro is +end repro; + +architecture behav of repro is +begin + assert std_ulogic_vector'("LL") ?= "00"; +end behav; |