diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-06-17 21:58:11 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-06-17 21:58:11 +0200 |
commit | 1666a4c7458a9078daa77058ef6173bf34223018 (patch) | |
tree | 3cfffe18778613ba15471c02c1a77334c4b99f33 /testsuite/gna/issue1257/repro2.vhdl | |
parent | 887496173322c262a976ac48d391d89255bf83f0 (diff) | |
download | ghdl-1666a4c7458a9078daa77058ef6173bf34223018.tar.gz ghdl-1666a4c7458a9078daa77058ef6173bf34223018.tar.bz2 ghdl-1666a4c7458a9078daa77058ef6173bf34223018.zip |
testsuite/gna: add tests for #1257
Diffstat (limited to 'testsuite/gna/issue1257/repro2.vhdl')
-rw-r--r-- | testsuite/gna/issue1257/repro2.vhdl | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/issue1257/repro2.vhdl b/testsuite/gna/issue1257/repro2.vhdl new file mode 100644 index 000000000..36a4b224b --- /dev/null +++ b/testsuite/gna/issue1257/repro2.vhdl @@ -0,0 +1,14 @@ +entity repro2 is +end repro2; + +architecture behav of repro2 is + signal left : bit_vector(1 downto 0); +begin + process + begin + for i in 1 to 2 loop + left(i) <= '1'; + end loop; + wait; + end process; +end; |