aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1246/pkg_a.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-20 18:01:26 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-20 18:01:26 +0200
commitde0014ddf3d2920aa7d4a4e8fe9d05ed2eebc4a4 (patch)
treef2b668d1a457203216815f015f17306630849c09 /testsuite/gna/issue1246/pkg_a.vhdl
parent6ee12be2bd560a9b50f40d501b443759d204230a (diff)
downloadghdl-de0014ddf3d2920aa7d4a4e8fe9d05ed2eebc4a4.tar.gz
ghdl-de0014ddf3d2920aa7d4a4e8fe9d05ed2eebc4a4.tar.bz2
ghdl-de0014ddf3d2920aa7d4a4e8fe9d05ed2eebc4a4.zip
testsuite/gna: add a test for #1246
Diffstat (limited to 'testsuite/gna/issue1246/pkg_a.vhdl')
-rw-r--r--testsuite/gna/issue1246/pkg_a.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1246/pkg_a.vhdl b/testsuite/gna/issue1246/pkg_a.vhdl
new file mode 100644
index 000000000..75009ad43
--- /dev/null
+++ b/testsuite/gna/issue1246/pkg_a.vhdl
@@ -0,0 +1,22 @@
+package pkg_A is
+ --------------------------------------
+ generic (
+ A: integer := 1
+ );
+ --------------------------------------
+ -- constant A: integer := 1;
+ --------------------------------------
+ package pkgB is new work.pkg_B
+ generic map(
+ B => A+1
+ );
+ procedure showA;
+end pkg_A;
+package body pkg_A is
+ procedure showA is
+ use pkgB.showB;
+ begin
+ report "A:" & integer'image(A);
+ showB;
+ end procedure showA;
+end package body pkg_A;