aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1233/adder.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-17 18:06:55 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-17 18:30:00 +0200
commit204661e02d47a7e61a1dfb21bea901a2686b21a1 (patch)
tree7f2b51d4d4d8667ebea4215c1906b84630be454e /testsuite/gna/issue1233/adder.vhdl
parent035fdddb36c73f5eb70df03f9e15565124168d94 (diff)
downloadghdl-204661e02d47a7e61a1dfb21bea901a2686b21a1.tar.gz
ghdl-204661e02d47a7e61a1dfb21bea901a2686b21a1.tar.bz2
ghdl-204661e02d47a7e61a1dfb21bea901a2686b21a1.zip
testsuite/gna: add a test for #1233
Diffstat (limited to 'testsuite/gna/issue1233/adder.vhdl')
-rw-r--r--testsuite/gna/issue1233/adder.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue1233/adder.vhdl b/testsuite/gna/issue1233/adder.vhdl
new file mode 100644
index 000000000..b2eeb3e39
--- /dev/null
+++ b/testsuite/gna/issue1233/adder.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity adder is
+ port
+ (
+ nibble1, nibble2 : in unsigned(3 downto 0);
+ sum : out unsigned(3 downto 0);
+ carry_out : out std_logic
+ );
+end entity adder;
+
+architecture behavioral of adder is
+ signal temp : unsigned(4 downto 0);
+begin
+ temp <= ("0" & nibble1) + nibble2;
+ sum <= temp(3 downto 0);
+ carry_out <= temp(4);
+end architecture behavioral;
+