diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-02-25 02:01:21 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-02-25 19:19:23 +0100 |
commit | 9b2fec3be17d065898706245ddee7c6e020b9f6e (patch) | |
tree | a369202637f89db95340afd1476d174fbb9e70ea /testsuite/gna/issue1145/count.vhdl | |
parent | 83449709c345372656d849d893d2d797a83bd683 (diff) | |
download | ghdl-9b2fec3be17d065898706245ddee7c6e020b9f6e.tar.gz ghdl-9b2fec3be17d065898706245ddee7c6e020b9f6e.tar.bz2 ghdl-9b2fec3be17d065898706245ddee7c6e020b9f6e.zip |
testsuite/gna: add test for #1145
Diffstat (limited to 'testsuite/gna/issue1145/count.vhdl')
-rw-r--r-- | testsuite/gna/issue1145/count.vhdl | 22 |
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1145/count.vhdl b/testsuite/gna/issue1145/count.vhdl new file mode 100644 index 000000000..a515dd1de --- /dev/null +++ b/testsuite/gna/issue1145/count.vhdl @@ -0,0 +1,22 @@ +library std; +use std.textio.all; + +entity count is +end entity count; + +architecture tb of count is +begin + p_test : process is + variable v_line : line; + variable nlines : natural := 0; + begin + while not endfile(input) loop + readline(input, v_line); + nlines := nlines + 1; + end loop; + + report natural'image (nlines); + + wait; + end process; +end architecture; |