diff options
author | Tristan Gingold <tgingold@free.fr> | 2013-12-31 19:01:02 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2013-12-31 19:01:02 +0100 |
commit | 2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9 (patch) | |
tree | 157d64a590676f8072ae923f8416a683f9680d99 /testsuite/gna/bug21274/21274.vhd | |
parent | ac09ce13531d11929f927ad5f79f4a219bee81f1 (diff) | |
download | ghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.tar.gz ghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.tar.bz2 ghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.zip |
Add bug21274
Diffstat (limited to 'testsuite/gna/bug21274/21274.vhd')
-rw-r--r-- | testsuite/gna/bug21274/21274.vhd | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/bug21274/21274.vhd b/testsuite/gna/bug21274/21274.vhd new file mode 100644 index 000000000..cf4c5aaff --- /dev/null +++ b/testsuite/gna/bug21274/21274.vhd @@ -0,0 +1,14 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity e is + generic(SIZE: INTEGER := 8); +end entity e; + +architecture a of e is + signal bufreg: STD_LOGIC_VECTOR((2 * SIZE - 1) downto 0); + alias ADreg1 is bufreg((2 * SIZE - 1) downto SIZE); + alias ADreg2: std_logic_vector((2 * SIZE - 1) downto SIZE) is bufreg((2 * SIZE - 1) downto SIZE); + +begin +end architecture; |