aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug20597/20597.vhd
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-18 05:53:22 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-18 05:53:22 +0100
commitbd4aff0f670351c0652cf24e9b04361dc0e3a01c (patch)
treeafcc1050ac74fc64b5756e2550bc32ea61d1e7bb /testsuite/gna/bug20597/20597.vhd
parent5fde24d46fae799e6c0723850097a8fccd64d747 (diff)
downloadghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.tar.gz
ghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.tar.bz2
ghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.zip
Add initial testsuite, using regression tests from bugs or support
reported on gna.org
Diffstat (limited to 'testsuite/gna/bug20597/20597.vhd')
-rw-r--r--testsuite/gna/bug20597/20597.vhd27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/bug20597/20597.vhd b/testsuite/gna/bug20597/20597.vhd
new file mode 100644
index 000000000..3294762ba
--- /dev/null
+++ b/testsuite/gna/bug20597/20597.vhd
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity e is
+end entity e;
+
+architecture a of e is
+
+signal operator_for_cmp : std_logic_vector(7 downto 0) := (others => 'X');
+
+begin
+
+process (operator_for_cmp) is
+begin
+ case operator_for_cmp is
+ when "00000000" =>
+ null;
+ when "00000001" =>
+ null;
+ when "00000002" => -- Me being stupid
+ null;
+ when "00000003" => --Again
+ when others => null;
+ end case;
+end process;
+
+end architecture;