aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug18351/PROBLEM.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-18 05:53:22 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-18 05:53:22 +0100
commitbd4aff0f670351c0652cf24e9b04361dc0e3a01c (patch)
treeafcc1050ac74fc64b5756e2550bc32ea61d1e7bb /testsuite/gna/bug18351/PROBLEM.vhdl
parent5fde24d46fae799e6c0723850097a8fccd64d747 (diff)
downloadghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.tar.gz
ghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.tar.bz2
ghdl-bd4aff0f670351c0652cf24e9b04361dc0e3a01c.zip
Add initial testsuite, using regression tests from bugs or support
reported on gna.org
Diffstat (limited to 'testsuite/gna/bug18351/PROBLEM.vhdl')
-rw-r--r--testsuite/gna/bug18351/PROBLEM.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug18351/PROBLEM.vhdl b/testsuite/gna/bug18351/PROBLEM.vhdl
new file mode 100644
index 000000000..d312f2c33
--- /dev/null
+++ b/testsuite/gna/bug18351/PROBLEM.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+
+entity PROBLEM is
+end PROBLEM;
+
+
+architecture BUG of PROBLEM is
+ type t_stdlogic_ptr is access std_logic_vector;
+ function ISSUE_HERE return t_stdlogic_ptr;
+
+ procedure PROBLEM_INSIDE is
+ procedure ANY_STUFF(param: in std_logic_vector) is
+ begin
+ end procedure;
+ begin
+ ANY_STUFF(ISSUE_HERE.all);
+ end PROBLEM_INSIDE;
+
+begin
+
+
+
+end BUG;