aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug15638
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-29 03:27:39 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-29 03:27:39 +0100
commitf4976c9f41903ef09b0225977129660a6391042b (patch)
tree583657b318d1f34375baade33068ab755afb6219 /testsuite/gna/bug15638
parent807135c0ef563a054e4bc042779de1f06c5bc140 (diff)
downloadghdl-f4976c9f41903ef09b0225977129660a6391042b.tar.gz
ghdl-f4976c9f41903ef09b0225977129660a6391042b.tar.bz2
ghdl-f4976c9f41903ef09b0225977129660a6391042b.zip
Add gna tests
Diffstat (limited to 'testsuite/gna/bug15638')
-rw-r--r--testsuite/gna/bug15638/15368.vhd35
-rwxr-xr-xtestsuite/gna/bug15638/testsuite.sh10
2 files changed, 45 insertions, 0 deletions
diff --git a/testsuite/gna/bug15638/15368.vhd b/testsuite/gna/bug15638/15368.vhd
new file mode 100644
index 000000000..0c8a50827
--- /dev/null
+++ b/testsuite/gna/bug15638/15368.vhd
@@ -0,0 +1,35 @@
+entity bug is
+end entity;
+
+architecture a of bug is
+ component cmp is
+ port(o :out bit_vector);
+ end component;
+
+signal o:bit_vector(4 downto 0);
+
+begin
+ i_exp: cmp port map(o);
+
+ process(o)
+ begin
+ report "o event" severity note;
+ end process;
+
+end architecture;
+
+entity cmp is
+ port(o :out bit_vector);
+end entity;
+
+architecture a of cmp is
+ signal big_o:bit_vector(255 downto 0);
+ signal a:bit_vector(4 downto 0);
+begin
+
+ o <= big_o(a'range);
+
+ big_o <= (others => '1') after 5 ns, (others => '0') after 10 ns;
+ a <= (others => '1') after 20 ns, (others => '0') after 30 ns;
+
+end architecture;
diff --git a/testsuite/gna/bug15638/testsuite.sh b/testsuite/gna/bug15638/testsuite.sh
new file mode 100755
index 000000000..9de7543d3
--- /dev/null
+++ b/testsuite/gna/bug15638/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze 15368.vhd
+elab_simulate bug
+
+clean
+
+echo "Test successful"