aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug071
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-29 20:52:35 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-29 20:52:35 +0200
commit07cbb88880716cbe289b31ac05e83220eff746b5 (patch)
tree2f3fb0b508b530e483749797b69ed959ab767f39 /testsuite/gna/bug071
parent0dad4d8b548d417ae47d12281e407b329678dc69 (diff)
downloadghdl-07cbb88880716cbe289b31ac05e83220eff746b5.tar.gz
ghdl-07cbb88880716cbe289b31ac05e83220eff746b5.tar.bz2
ghdl-07cbb88880716cbe289b31ac05e83220eff746b5.zip
testsuite/gna/bug071: adjust test for windows.
Diffstat (limited to 'testsuite/gna/bug071')
-rw-r--r--testsuite/gna/bug071/atod.vhdl12
1 files changed, 10 insertions, 2 deletions
diff --git a/testsuite/gna/bug071/atod.vhdl b/testsuite/gna/bug071/atod.vhdl
index 52e0c2189..2ff3bf90a 100644
--- a/testsuite/gna/bug071/atod.vhdl
+++ b/testsuite/gna/bug071/atod.vhdl
@@ -35,11 +35,19 @@ begin
report to_string (csts (i), "%a") severity note;
end loop;
+ -- There are two possible outputs according to the normalization of
+ -- the first digit.
v := csts (2);
- assert to_string (v, "%.13a") = "0x1.dcd0089c1314ep+218" severity failure;
+ assert
+ to_string (v, "%.13a") = "0x1.dcd0089c1314ep+218"
+ or to_string (v, "%.13a") = "0xe.e68044e098a7p+215"
+ severity failure;
v := csts (3);
- assert to_string (v, "%.13a") = "0x1.62644c61d41aap+885" severity failure;
+ assert
+ to_string (v, "%.13a") = "0x1.62644c61d41aap+885"
+ or to_string (v, "%.13a") = "0xb.1322630ea0d5p+882"
+ severity failure;
wait;
end process;
end behav;