aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-02-13 18:18:26 +0100
committerTristan Gingold <tgingold@free.fr>2020-02-13 18:18:26 +0100
commite365367b3670710254a7f86db52d3cecc236e764 (patch)
tree085e6c83798260f2d4331db07e3a7b940322247d /testsuite/gna/bug0100
parentb820177e2e0d74458ee1e28a74c7e461c8e41939 (diff)
downloadghdl-e365367b3670710254a7f86db52d3cecc236e764.tar.gz
ghdl-e365367b3670710254a7f86db52d3cecc236e764.tar.bz2
ghdl-e365367b3670710254a7f86db52d3cecc236e764.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite/gna/bug0100')
-rw-r--r--testsuite/gna/bug0100/qual.vhdl11
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/qual.vhdl b/testsuite/gna/bug0100/qual.vhdl
new file mode 100644
index 000000000..f7c0ba0b3
--- /dev/null
+++ b/testsuite/gna/bug0100/qual.vhdl
@@ -0,0 +1,11 @@
+entity qual is
+end;
+
+architecture behav of qual is
+begin
+ process
+ variable cnt : natural range 0 to 15;
+ begin
+ cnt := cnt'last'(others => '1');
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index fc50f76ad..b779aa7ea 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -15,6 +15,7 @@ analyze_failure --force-analysis emptyquote2.vhdl
analyze_failure usrattr.vhdl
analyze_failure forloop.vhdl
analyze_failure emptyquote.vhdl
+analyze_failure qual.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: