aboutsummaryrefslogtreecommitdiffstats
path: root/src
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-06 13:01:20 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-06 13:01:20 +0200
commitd44e7227610913a14029ce050aceee887da914dd (patch)
tree14634a034969ddc28e7693c8b6c405d846549060 /src
parentfd7ce659ef9b61483dd40419552aa8036fa95bd0 (diff)
downloadghdl-d44e7227610913a14029ce050aceee887da914dd.tar.gz
ghdl-d44e7227610913a14029ce050aceee887da914dd.tar.bz2
ghdl-d44e7227610913a14029ce050aceee887da914dd.zip
netlists: remove get_name renaming for modules.
Diffstat (limited to 'src')
-rw-r--r--src/synth/netlists-disp_vhdl.adb8
-rw-r--r--src/synth/netlists-dump.adb6
-rw-r--r--src/synth/netlists.adb2
-rw-r--r--src/synth/netlists.ads1
4 files changed, 8 insertions, 9 deletions
diff --git a/src/synth/netlists-disp_vhdl.adb b/src/synth/netlists-disp_vhdl.adb
index 2e601e05e..a2c751ad1 100644
--- a/src/synth/netlists-disp_vhdl.adb
+++ b/src/synth/netlists-disp_vhdl.adb
@@ -187,7 +187,7 @@ package body Netlists.Disp_Vhdl is
Put_Name (Name);
end if;
-- Gate name
- Name := Get_Name (Imod);
+ Name := Get_Module_Name (Imod);
if Get_Id (Imod) < Id_User_None then
Put (" : gsynth.gate_");
pragma Assert (Get_Sname_Kind (Name) = Sname_Artificial
@@ -1006,7 +1006,7 @@ package body Netlists.Disp_Vhdl is
end if;
Put ("architecture rtl of ");
- Put_Name (Get_Name (M));
+ Put_Name (Get_Module_Name (M));
Put_Line (" is");
-- Dummy display:
@@ -1062,13 +1062,13 @@ package body Netlists.Disp_Vhdl is
Put_Line ("use ieee.numeric_std.all;");
New_Line;
Put ("entity ");
- Put_Name (Get_Name (M));
+ Put_Name (Get_Module_Name (M));
Put_Line (" is");
Disp_Entity_Ports (M);
Put ("end entity ");
- Put_Name (Get_Name (M));
+ Put_Name (Get_Module_Name (M));
Put_Line (";");
New_Line;
end Disp_Entity;
diff --git a/src/synth/netlists-dump.adb b/src/synth/netlists-dump.adb
index 1848f2f82..385d72f22 100644
--- a/src/synth/netlists-dump.adb
+++ b/src/synth/netlists-dump.adb
@@ -140,7 +140,7 @@ package body Netlists.Dump is
Put_Trim (Instance'Image (Inst));
Put ('}');
Put (": ");
- Dump_Name (Get_Name (Get_Module (Inst)));
+ Dump_Name (Get_Module_Name (Get_Module (Inst)));
New_Line;
if Get_Nbr_Params (Inst) > 0 then
@@ -198,7 +198,7 @@ package body Netlists.Dump is
Put ("module {m");
Put_Trim (Module'Image (M));
Put ("} ");
- Dump_Name (Get_Name (M));
+ Dump_Name (Get_Module_Name (M));
New_Line;
-- Parameters.
@@ -405,7 +405,7 @@ package body Netlists.Dump is
end case;
end if;
- Dump_Name (Get_Name (M));
+ Dump_Name (Get_Module_Name (M));
if True then
Put ('@');
diff --git a/src/synth/netlists.adb b/src/synth/netlists.adb
index 079134b78..4d1516510 100644
--- a/src/synth/netlists.adb
+++ b/src/synth/netlists.adb
@@ -440,7 +440,7 @@ package body Netlists is
begin
-- Swap inputs and outputs; no parameters.
Res := New_Instance_Internal
- (M, M, Get_Name (M), Nbr_Outputs, Nbr_Inputs, 0);
+ (M, M, Get_Module_Name (M), Nbr_Outputs, Nbr_Inputs, 0);
Set_Outputs_Width_From_Desc
(Res, Nbr_Inputs, Get_Input_First_Desc (M));
diff --git a/src/synth/netlists.ads b/src/synth/netlists.ads
index bfe4522b3..8fa1d3fad 100644
--- a/src/synth/netlists.ads
+++ b/src/synth/netlists.ads
@@ -205,7 +205,6 @@ package Netlists is
function Create_Self_Instance (M : Module) return Instance;
function Get_Module_Name (M : Module) return Sname;
- function Get_Name (M : Module) return Sname renames Get_Module_Name;
function Get_Id (M : Module) return Module_Id;
function Get_Nbr_Inputs (M : Module) return Port_Nbr;