aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-ieee-std_logic_1164.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-06-05 22:14:47 +0200
committerTristan Gingold <tgingold@free.fr>2022-06-05 22:14:47 +0200
commita638e3a2b4af985b6ed874f45eef5d65c19aea20 (patch)
treeb71c78c958d432cf7c46d03badf1e73e9711834f /src/vhdl/vhdl-ieee-std_logic_1164.adb
parentcd9f37d3907caec541ff501d092b3e9f6f823dc4 (diff)
downloadghdl-a638e3a2b4af985b6ed874f45eef5d65c19aea20.tar.gz
ghdl-a638e3a2b4af985b6ed874f45eef5d65c19aea20.tar.bz2
ghdl-a638e3a2b4af985b6ed874f45eef5d65c19aea20.zip
vhdl: recognize more predefined ieee functions and operators
Diffstat (limited to 'src/vhdl/vhdl-ieee-std_logic_1164.adb')
-rw-r--r--src/vhdl/vhdl-ieee-std_logic_1164.adb7
1 files changed, 7 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-ieee-std_logic_1164.adb b/src/vhdl/vhdl-ieee-std_logic_1164.adb
index 4b98cf026..ff2d95190 100644
--- a/src/vhdl/vhdl-ieee-std_logic_1164.adb
+++ b/src/vhdl/vhdl-ieee-std_logic_1164.adb
@@ -369,6 +369,13 @@ package body Vhdl.Ieee.Std_Logic_1164 is
Predefined :=
Iir_Predefined_Ieee_1164_To_Stdulogicvector_Bv;
end if;
+ when Name_To_01 =>
+ if Is_Suv_Log_Function (Decl) then
+ -- TODO: distinguish slv/suv.
+ Predefined := Iir_Predefined_Ieee_1164_To_01_Slv_Log;
+ elsif Is_Scalar_Scalar_Function (Decl) then
+ Predefined := Iir_Predefined_Ieee_1164_To_01_Log_Log;
+ end if;
when Name_To_X01 =>
if Is_Vector_Function (Decl) then
-- TODO: distinguish slv/suv.