aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/errorout.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2014-12-31 06:35:55 +0100
committerTristan Gingold <tgingold@free.fr>2014-12-31 06:35:55 +0100
commit032a613b864bd0ff4c632cfb8541203abf1f4e59 (patch)
tree485963e7bf92b8e8c3497721c3d1295a53e949f4 /src/vhdl/errorout.adb
parentdfff5cae5a4b336ba0cf797f4122cfcace474736 (diff)
downloadghdl-032a613b864bd0ff4c632cfb8541203abf1f4e59.tar.gz
ghdl-032a613b864bd0ff4c632cfb8541203abf1f4e59.tar.bz2
ghdl-032a613b864bd0ff4c632cfb8541203abf1f4e59.zip
Rename name_table.name_buffer and name_length to avoid clash.
Diffstat (limited to 'src/vhdl/errorout.adb')
-rw-r--r--src/vhdl/errorout.adb10
1 files changed, 5 insertions, 5 deletions
diff --git a/src/vhdl/errorout.adb b/src/vhdl/errorout.adb
index 940b8fc91..c059c5273 100644
--- a/src/vhdl/errorout.adb
+++ b/src/vhdl/errorout.adb
@@ -489,12 +489,12 @@ package body Errorout is
when Iir_Kind_Selected_Name =>
Name_Table.Image (Get_Identifier (Node));
return '''
- & Name_Table.Name_Buffer (1 .. Name_Table.Name_Length)
+ & Name_Table.Nam_Buffer (1 .. Name_Table.Nam_Length)
& ''';
when Iir_Kind_Simple_Name =>
Name_Table.Image (Get_Identifier (Node));
return '''
- & Name_Table.Name_Buffer (1 .. Name_Table.Name_Length)
+ & Name_Table.Nam_Buffer (1 .. Name_Table.Nam_Length)
& ''';
when Iir_Kind_Entity_Aspect_Entity =>
return "aspect " & Disp_Node (Get_Entity (Node))
@@ -920,7 +920,7 @@ package body Errorout is
Decl := Get_Type_Declarator (Get_Base_Type (Def));
end if;
Image (Get_Identifier (Decl));
- Append (Res, Name_Buffer (1 .. Name_Length));
+ Append (Res, Nam_Buffer (1 .. Nam_Length));
end Append_Type;
begin
@@ -947,10 +947,10 @@ package body Errorout is
| Std_Names.Name_Xnor
| Std_Names.Name_Shift_Operators =>
Append (Res, """");
- Append (Res, Name_Buffer (1 .. Name_Length));
+ Append (Res, Nam_Buffer (1 .. Nam_Length));
Append (Res, """");
when others =>
- Append (Res, Name_Buffer (1 .. Name_Length));
+ Append (Res, Nam_Buffer (1 .. Nam_Length));
end case;
end;