aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/openieee/numeric_bit-body.proto
diff options
context:
space:
mode:
authorTristan Gingold <gingold@adacore.com>2015-12-19 14:17:48 +0100
committerTristan Gingold <gingold@adacore.com>2015-12-20 07:23:00 +0100
commit40ed4c7f9394c9881577f18f91b6db131752c9ba (patch)
treeffce39708dfff6f68d64da95fff23d2c2a6d72cc /libraries/openieee/numeric_bit-body.proto
parentae7a913a9c8193daee80f6774e8cb7351edea974 (diff)
downloadghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.tar.gz
ghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.tar.bz2
ghdl-40ed4c7f9394c9881577f18f91b6db131752c9ba.zip
openieee: fix missing subprograms, fix wrong interface name.
Diffstat (limited to 'libraries/openieee/numeric_bit-body.proto')
-rw-r--r--libraries/openieee/numeric_bit-body.proto10
1 files changed, 10 insertions, 0 deletions
diff --git a/libraries/openieee/numeric_bit-body.proto b/libraries/openieee/numeric_bit-body.proto
index 715f9f573..91a5bd6a7 100644
--- a/libraries/openieee/numeric_bit-body.proto
+++ b/libraries/openieee/numeric_bit-body.proto
@@ -177,4 +177,14 @@ package body NUMERIC_BIT is
@ARITH
@LOG
+
+ function rising_edge (signal s : bit) return boolean is
+ begin
+ return s'event and s = '1';
+ end rising_edge;
+
+ function falling_edge (signal s : bit) return boolean is
+ begin
+ return s'event and s = '0';
+ end falling_edge;
end NUMERIC_BIT;