aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/ieee2008/numeric_bit_unsigned.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2014-01-04 11:20:24 +0100
committerTristan Gingold <tgingold@free.fr>2014-01-04 11:20:24 +0100
commit071b3291e88f05bc06d91fe4ebe88582292d3f0d (patch)
tree9cad198d401c6aa8db4d7cc7912cd577c6457f64 /libraries/ieee2008/numeric_bit_unsigned.vhdl
parent1c91baed947d3db1f9141a8fec77b644cf0a29f9 (diff)
downloadghdl-071b3291e88f05bc06d91fe4ebe88582292d3f0d.tar.gz
ghdl-071b3291e88f05bc06d91fe4ebe88582292d3f0d.tar.bz2
ghdl-071b3291e88f05bc06d91fe4ebe88582292d3f0d.zip
Fix various minor bugs: alias of access type, subprograms in entity, active
attribute in sensitivity list, missing dependence, and block_statement in expanded name.
Diffstat (limited to 'libraries/ieee2008/numeric_bit_unsigned.vhdl')
0 files changed, 0 insertions, 0 deletions