aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorJulin S <julinshaji01@gmail.com>2022-03-01 17:02:04 +0530
committerJulin S <julinshaji01@gmail.com>2022-03-01 17:02:04 +0530
commit9f2a9ee184639d844e09fb9b810183180f520312 (patch)
tree101ec74f7dfe6f9cc676db6b40192312289b303e /doc
parentd1073c763495e90b6c6e25d8a5e1ff6f8e54187b (diff)
downloadghdl-9f2a9ee184639d844e09fb9b810183180f520312.tar.gz
ghdl-9f2a9ee184639d844e09fb9b810183180f520312.tar.bz2
ghdl-9f2a9ee184639d844e09fb9b810183180f520312.zip
fix few more typos
Diffstat (limited to 'doc')
-rw-r--r--doc/internals/RTI.rst10
-rw-r--r--doc/using/InvokingGHDL.rst4
2 files changed, 7 insertions, 7 deletions
diff --git a/doc/internals/RTI.rst b/doc/internals/RTI.rst
index e4fd78dac..1add6ca5c 100644
--- a/doc/internals/RTI.rst
+++ b/doc/internals/RTI.rst
@@ -5,7 +5,7 @@ Run Time Information (RTI)
.. WARNING::
This section was written while trying to understand how the RTI is
organized. It almost certainly contains errors, and it likely
- won't be updated with the codebase, so don't belive any of the
+ won't be updated with the codebase, so don't believe any of the
information here. Nevertheless, it may be helpful for a developer new
to GHDL trying to understand the organization of the RTI.
@@ -29,7 +29,7 @@ Context
The context of a node is the position in the elaborated design. For
example a architecture might be instantiated 4 times in a design, but
will have a single RTI node. The data related to that node but
- specfic to particular instantiation has an address in memory. A
+ specific to particular instantiation has an address in memory. A
context object (`Rti_Context`) bundles an RTI node with an address for
it's instantiation data. If this RTI node references another RTI node we
can find the relevant instantiation data for that node by considering the
@@ -154,7 +154,7 @@ Child \: Ghdl_Rti_Access
Size \: Ghdl_Index_Type
- The amount of memory requrired for the context of their child.
+ The amount of memory required for the context of their child.
The Child element is a generate body. There is only a single RTI-node
structure which Child points to, however a different context is used
@@ -235,7 +235,7 @@ Indexes \: Ghdl_Rti_Arr_Acc
Functions acting on types don't seem to use context in the same way.
The functions are often pass the RTI object, a context (of a object
-higher in the hierarcy, and a pointer to a local context (often called
+higher in the hierarchy, and a pointer to a local context (often called
layout)).
The context of an Array Type has a defined structure which is `Ghdl_Uc_Array`.
@@ -330,7 +330,7 @@ Nbrel \: Ghdl_Index_Type
Elements \: Ghdl_Rti_Arr_Acc;
- The RTI nodes of the element defintions.
+ The RTI nodes of the element definitions.
Layout \: Ghdl_Rti_Loc
diff --git a/doc/using/InvokingGHDL.rst b/doc/using/InvokingGHDL.rst
index b50f98626..ac959ff0f 100644
--- a/doc/using/InvokingGHDL.rst
+++ b/doc/using/InvokingGHDL.rst
@@ -230,7 +230,7 @@ See also :option:`--elab-order`, for retrieving the compilation order guessed re
Elab-order [``--elab-order``]
-----------------------------
-.. option:: --elab-order <[options...] [libray.]top_unit [arch]>
+.. option:: --elab-order <[options...] [library.]top_unit [arch]>
Print the list of sources required for elaborating a unit, in order
for them to be analyzed without dependency issues. This is expected
@@ -313,7 +313,7 @@ Generate a Makefile containing only dependencies to build a design unit.
This command works like the make and gen-makefile commands (see :option:`-m`), but instead of a full makefile only
dependencies without rules are generated on the standard output.
-Theses rules can then be integrated in another Makefile.
+These rules can then be integrated in another Makefile.
.. _GHDL:options: