aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authortmeissner <programming@goodcleanfun.de>2020-10-06 21:30:45 +0200
committertgingold <tgingold@users.noreply.github.com>2020-10-07 18:21:45 +0200
commit4796c4acc40a686f29a390b774b6e98e095ec4f4 (patch)
treef38df7e68d9e2adf7865aa74025372836ff91c8c /doc
parent64e49ec867764186207a37f6812311a5b68ef76a (diff)
downloadghdl-4796c4acc40a686f29a390b774b6e98e095ec4f4.tar.gz
ghdl-4796c4acc40a686f29a390b774b6e98e095ec4f4.tar.bz2
ghdl-4796c4acc40a686f29a390b774b6e98e095ec4f4.zip
Synth doc: add infos about synth specific options, related to 667ab51
Diffstat (limited to 'doc')
-rw-r--r--doc/using/Synthesis.rst44
1 files changed, 44 insertions, 0 deletions
diff --git a/doc/using/Synthesis.rst b/doc/using/Synthesis.rst
index 3301d87d1..17adb0c9b 100644
--- a/doc/using/Synthesis.rst
+++ b/doc/using/Synthesis.rst
@@ -58,6 +58,50 @@ See :ghdlsharp:`1174` for on-going discussion about other output formats.
.. TIP::
Files can be provided in any order.
+.. _synthesis_options:
+
+Synthesis options
+==================
+
+In addition to analyze options, there are some synthesis specific options.
+
+.. option:: -gNAME=VALUE
+
+ Override top unit generic `NAME` with value `VALUE`. Similar to the run-time option :option:`-gGENERIC=VALUE`.
+
+ Example::
+
+ $ ghdl --synth --std=08 -gDEPTH=12 my_unit
+
+.. option:: --vendor-library=NAME
+
+ Any unit from library NAME is a black box.
+
+ Example::
+
+ $ ghdl --synth --std=08 --vendor-library=vendorlib my_unit
+
+.. option:: --no-formal
+
+ Neither synthesize assert nor PSL.
+
+ Example::
+
+ $ ghdl --synth --std=08 --no-formal my_unit
+
+.. option:: --no-assert-cover
+
+ Disable automatic cover PSL assertion activation. If this option isn't used, GHDL generates
+ `cover` directives for each `assert` directive automatically during synthesis.
+
+ Example::
+
+ $ ghdl --synth --std=08 --no-assert-cover my_unit
+
+.. TIP::
+ Furthermore there are lot of debug options available. Beware: These debug options should only used
+ for debugging purposes as they aren't guaranteed to be stable during development of GHDL's synthesis feature. You can find them in the file `src/ghdldrv/ghdlsynth.adb <https://github.com/ghdl/ghdl/blob/master/src/ghdldrv/ghdlsynth.adb>`_ in the procedure `Decode_Option()`.
+
.. _Synth:plugin:
Yosys plugin