aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-12-13 21:08:10 +0100
committerTristan Gingold <tgingold@free.fr>2017-12-13 21:08:10 +0100
commit11ed6c6f88a063894a114af97c5a8e84bb730927 (patch)
treed6c6aa76630ccbb3c8c99974957973c1f205787c /doc
parent0c80a1a86461d53b7f628cff504c3698a59c7317 (diff)
downloadghdl-11ed6c6f88a063894a114af97c5a8e84bb730927.tar.gz
ghdl-11ed6c6f88a063894a114af97c5a8e84bb730927.tar.bz2
ghdl-11ed6c6f88a063894a114af97c5a8e84bb730927.zip
hack doc and regenerate texi file.
Diffstat (limited to 'doc')
-rw-r--r--doc/Makefile44
-rw-r--r--doc/conf.py25
-rw-r--r--doc/ghdl.texi5965
-rw-r--r--doc/index.rst4
-rw-r--r--doc/shields.inc9
-rw-r--r--doc/shieldswho.inc24
6 files changed, 4420 insertions, 1651 deletions
diff --git a/doc/Makefile b/doc/Makefile
index eb08aa6b1..4fbaadc3f 100644
--- a/doc/Makefile
+++ b/doc/Makefile
@@ -1,14 +1,33 @@
-SRC_FILES= \
- Copyrights.rst \
- Flaws_and_bugs_report.rst \
- GHDL_implementation_of_VHDL.rst \
- GHDL_implementation_of_VITAL.rst \
- Introduction.rst \
- Invoking_GHDL.rst \
- Simulation_and_runtime.rst \
- Starting_with_GHDL.rst \
- index.rst \
- conf.py
+SRCS= \
+conf.py \
+prolog.inc shields.inc shieldswho.inc \
+about.rst \
+appendix/Meta.rst appendix/Roadmap.rst \
+building/Building.rst \
+building/Directories.rst \
+building/gcc/GNULinux-GNAT.rst \
+building/gcc/index.rst \
+building/gcc/Windows-MinGW-GNAT.rst \
+building/llvm/GNULinux-GNAT.rst \
+building/llvm/index.rst \
+building/llvm/Windows-MinGW-GNAT.rst \
+building/mcode/GNULinux-GNAT.rst \
+building/mcode/index.rst \
+building/mcode/Windows-GNATGPL.rst \
+building/mcode/Windows-MinGW-GNAT.rst \
+building/PrecompileVendorPrimitives.rst \
+contribute.rst \
+genindex.rst \
+getting/Releases.rst \
+index.rst \
+licenses.rst \
+references/CodingStyle.rst \
+references/CommandReference.rst \
+references/ImplementationOfVHDL.rst \
+references/ImplementationOfVITAL.rst \
+using/InvokingGHDL.rst \
+using/QuickStartGuide.rst \
+using/Simulation.rst
CP=cp
@@ -42,7 +61,8 @@ ghdl.pdf: ghdl.dvi
html:
$(SPHINXBUILD) -b html $(ALLSPHINXOPTS) $(BUILDDIR)/html
-ghdl.texi: $(SRC_FILES)
+texi: ghdl.texi
+ghdl.texi: $(SRCS)
$(SPHINXBUILD) -b texinfo $(ALLSPHINXOPTS) $(BUILDDIR)/texinfo
$(CP) $(BUILDDIR)/texinfo/GHDL.texi $@
diff --git a/doc/conf.py b/doc/conf.py
index 3374b63e0..9c64a6ff6 100644
--- a/doc/conf.py
+++ b/doc/conf.py
@@ -76,25 +76,12 @@ author = u'Tristan Gingold and contributors'
# |version| and |release|, also used in various other places throughout the
# built documents.
#
-def _IsUnderGitControl():
- return (subprocess.check_output(["git", "rev-parse", "--is-inside-work-tree"], universal_newlines=True).strip() == "true")
-
-def _LatestTagName():
- return subprocess.check_output(["git", "describe", "--abbrev=0", "--tags"], universal_newlines=True).strip()
-
try:
- if _IsUnderGitControl:
- descr = _LatestTagName()
- if descr.startswith('v'):
- version = descr[1:] # remove prefix "v"
- else:
- version = descr
- else:
- with open('../src/version.in') as verin:
- for line in verin:
- line = re.findall(r'Ghdl_Ver.+\"(.+)\";', line)
- if line:
- version=line[0]
+ with open('../src/version.in') as verin:
+ for line in verin:
+ line = re.findall(r'Ghdl_Ver.+\"(.+)\";', line)
+ if line:
+ version=line[0]
except Exception, e:
print "cannot extract version: %s" % e
version = "latest"
@@ -362,4 +349,4 @@ extlinks = {
'ghdlissue': ('https://github.com/tgingold/ghdl/issues/%s', 'issue #'),
'ghdlpull': ('https://github.com/tgingold/ghdl/pull/%s', 'pull request #'),
'ghdlsrc': ('https://github.com/tgingold/ghdl/blob/master/src/%s', None)
-} \ No newline at end of file
+}
diff --git a/doc/ghdl.texi b/doc/ghdl.texi
index f686f6a4e..1bfc3c603 100644
--- a/doc/ghdl.texi
+++ b/doc/ghdl.texi
@@ -3,7 +3,7 @@
@setfilename GHDL.info
@documentencoding UTF-8
@ifinfo
-@*Generated by Sphinx 1.3.5.@*
+@*Generated by Sphinx 1.6.5.@*
@end ifinfo
@settitle GHDL Documentation
@defindex ge
@@ -21,11 +21,11 @@
@copying
@quotation
-GHDL 2016-09-14, February 18, 2017
+GHDL 0.35-dev, Dec 13, 2017
-Tristan Gingold
+Tristan Gingold and contributors
-Copyright @copyright{} 2015, Tristan Gingold
+Copyright @copyright{} 2015-2017, Tristan Gingold and contributors
@end quotation
@end copying
@@ -48,112 +48,256 @@ Copyright @copyright{} 2015, Tristan Gingold
@c %**start of body
@anchor{index doc}@anchor{0}
-@c GHDL documentation master file, created by
-@c sphinx-quickstart on Fri Nov 20 20:33:03 2015.
-@c You can adapt this file completely to your liking, but it should at least
-@c contain the root `toctree` directive.
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@c # This file provides the following shields: travis-ci appveyor release
+@c # license mailing gitter issues-new issues-open issues-closed issues-pr
+@c # issues-pr-closed github gh-logo
+
+@c # Use http://b64.io/ to encode any image to base64. Then replace `/` with
+@c # `%2F` and `+` with `%2B` (or use http://meyerweb.com/eric/tools/dencoder/).
+@c # Beware that `?logo=data:image/png;base64,` must also be converted to
+@c # percent encoding so that the URL is properly parsed.
+
+
+
+
+
+
+__________________________________________________________________
+
+
+This manual is the user and reference manual for GHDL. It does not contain an
+introduction to VHDL. Thus, the reader should have at least a basic knowledge
+of VHDL. A good knowledge of VHDL language reference manual (usually called
+LRM) is a plus.
+
+
+
+This document was generated on Dec 13, 2017 - 20:49.
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+
+
+
-Contents:
@menu
-* Introduction::
-* Starting with GHDL::
+* About GHDL::
+* Contributing::
+* Copyrights | Licenses::
+* Quick Start Guide::
* Invoking GHDL::
* Simulation and runtime::
-* GHDL implementation of VHDL::
-* GHDL implementation of VITAL::
-* Flaws and bugs report::
-* Copyrights::
-* Indices and tables::
+* Releases and sources::
+* Building GHDL from Sources::
+* Precompile Vendor Primitives::
+* Command Reference::
+* Coding Style::
+* Implementation of VHDL::
+* Implementation of VITAL::
+* Roadmap | Future Improvements::
+* Meta::
+* Index: Index<2>.
* Index::
@detailmenu
--- The Detailed Node Listing ---
-Introduction
+About GHDL
-* Content of this manual::
* What is VHDL?::
* What is GHDL?::
+* Who uses GHDL?::
+
+Contributing
-Starting with GHDL
+* Reporting bugs::
+* Requesting enhancements::
+* Improving the documentation::
+* Fork@comma{} modify and pull-request: Fork modify and pull-request.
+* Related interesting projects::
+
+Copyrights | Licenses
+
+* GNU GPLv2::
+* CC-BY-SA::
+* List of Contributors::
-* The hello world program::
+Quick Start Guide
+
+* The ‘Hello world’ program::
+* The heartbeat program::
* A full adder::
* Starting with a design::
Invoking GHDL
-* Building commands::
-* GHDL options::
-* Passing options to other programs::
-* GHDL Diagnostics Control::
-* GHDL warnings::
-* Rebuilding commands::
+* Design building commands::
+* Design rebuilding commands::
+* Options::
+* Warnings::
+* Diagnostics Control::
* Library commands::
-* Cross-reference command::
-* File commands::
-* Misc commands::
* VPI build commands::
-* Installation Directory::
* IEEE library pitfalls::
-* IEEE math packages::
-Building commands
+Design building commands
-* Analysis command::
-* Elaboration command::
-* Run command::
-* Elaborate and run command::
-* Bind command::
-* Link command::
-* List link command::
-* Check syntax command::
-* Analyze and elaborate command::
+* Analysis [-a]::
+* Elaboration [-e]::
+* Run [-r]::
+* Elaborate and run [--elab-run]::
+* Check syntax [-s]::
+* Analyze and elaborate [-c]::
-Rebuilding commands
+Design rebuilding commands
-* Import command::
-* Make command::
-* Generate Makefile command::
+* Import [-i]::
+* Make [-m]::
+* Generate Makefile [--gen-makefile]::
+* Generate dependency file command [--gen-depends]::
Library commands
-* Directory command::
-* Clean command::
-* Remove command::
-* Copy command::
-* Create a Library::
+* Directory [--dir]::
+* Clean [--clean]::
+* Remove [--remove]::
+* Copy [--copy]::
-File commands
+VPI build commands
+
+* compile [--vpi-compile]::
+* link [--vpi-link]::
+* cflags [--vpi-cflags]::
+* ldflags [--vpi-ldflags]::
+* include dir [--vpi-include-dir]::
+* library dir [--vpi-library-dir]::
+
+Simulation and runtime
+
+* Simulation options::
+* Export waveforms::
+* Export hierarchy and references::
+* Debugging::
+
+Debugging
-* Pretty print command::
-* Find command::
-* Chop command::
-* Lines command::
+* GNU Debugger (GDB): GNU Debugger GDB.
+
+Releases and sources
+
+* Downloading pre-built packages::
+* Downloading Source Files::
+
+Downloading Source Files
+
+* Downloading from GitHub::
+* Downloading via git clone::
+
+Downloading via git clone
+
+* On Linux::
+* On OS X::
+* On Windows::
+
+Building GHDL from Sources
+
+* Directory Structure::
+* mcode Backend::
+* LLVM Backend::
+* GCC Backend::
+
+mcode Backend
+
+* GHDL with mcode backend build on GNU/Linux with GCC/GNAT::
+* GHDL with mcode backend build on Windows with GNAT GPL::
+* GHDL with mcode backend build on Windows with GCC/GNAT (MinGW): GHDL with mcode backend build on Windows with GCC/GNAT MinGW.
+
+GHDL with mcode backend build on Windows with GNAT GPL
+
+* Requirements::
+* Scripts and Parameters::
+
+Scripts and Parameters
+
+* compile.ps1: compile ps1.
+
+LLVM Backend
+
+* GNU/Linux with GCC/GNAT::
+* GHDL with LLVM backend build on Windows with GCC/GNAT (MinGW): GHDL with LLVM backend build on Windows with GCC/GNAT MinGW.
+
+GCC Backend
+
+* GNU/Linux with GCC/GNAT: GNU/Linux with GCC/GNAT<2>.
+* GHDL with GCC backend build on Windows with GCC/GNAT (MinGW): GHDL with GCC backend build on Windows with GCC/GNAT MinGW.
+
+Precompile Vendor Primitives
+
+* Supported Vendors Libraries::
+* Supported Simulation and Verification Libraries::
+* Script Configuration::
+* Compiling on Linux::
+* Compiling on Windows::
+* Configuration Files::
+
+Configuration Files
+
+* For Linux; config.sh: For Linux config sh.
+* For Windows; config.psm1: For Windows config psm1.
+* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts.
+* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts.
+
+Command Reference
+
+* Environment variables::
+* Misc commands::
+* File commands::
+* GCC/LLVM only commands::
+* Options: Options<2>.
+* Passing options to other programs::
Misc commands
-* Help command::
-* Disp config command::
-* Disp standard command::
-* Version command::
+* Help [-h]::
+* Display config [--disp-config]::
+* Display standard [--disp-standard]::
+* Version [--version]::
-VPI build commands
+File commands
-* VPI compile command::
-* VPI link command::
-* VPI cflags command::
-* VPI ldflags command::
-* VPI include dir command::
-* VPI library dir command::
+* Pretty print [--pp-html]::
+* Find [-f]::
+* Chop [--chop]::
+* Lines [--lines]::
-Simulation and runtime
+GCC/LLVM only commands
-* Simulation options::
-* Debugging VHDL programs::
+* Bind [--bind]::
+* Link [--link]::
+* List link [--list-link]::
-GHDL implementation of VHDL
+Implementation of VHDL
* VHDL standards::
* PSL implementation::
@@ -172,130 +316,655 @@ Interfacing to other languages
* Linking with Ada::
* Using GRT from Ada::
-GHDL implementation of VITAL
+Implementation of VITAL
* VITAL packages::
* VHDL restrictions for VITAL::
* Backannotation::
* Negative constraint calculation::
-Flaws and bugs report
+Meta
-* Reporting bugs::
-* Future improvements::
+* General guidelines to edit the documentation::
+* Guidelines to edit section ‘Building’::
+* Documentation configuration::
+* CSS::
+* Dist::
@end detailmenu
@end menu
-@node Introduction,Starting with GHDL,Top,Top
-@anchor{Introduction welcome-to-ghdl-s-documentation}@anchor{1}@anchor{Introduction introduction}@anchor{2}@anchor{Introduction doc}@anchor{3}
-@chapter Introduction
+@node About GHDL,Contributing,Top,Top
+@anchor{about ghdl-documentation}@anchor{1}@anchor{about doc}@anchor{2}@anchor{about about-ghdl}@anchor{3}
+@chapter About GHDL
@menu
-* Content of this manual::
* What is VHDL?::
* What is GHDL?::
+* Who uses GHDL?::
@end menu
-@node Content of this manual,What is VHDL?,,Introduction
-@anchor{Introduction content-of-this-manual}@anchor{4}
-@section Content of this manual
+@node What is VHDL?,What is GHDL?,,About GHDL
+@anchor{about intro-vhdl}@anchor{4}@anchor{about what-is-vhdl}@anchor{5}
+@section What is @cite{VHDL}?
-This manual is the user and reference manual for GHDL. It does not
-contain an introduction to VHDL. Thus, the reader should have at least
-a basic knowledge of VHDL. A good knowledge of VHDL language reference
-manual (usually called LRM) is a plus.
+VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}) Hardware Description Language (HDL@footnote{https://en.wikipedia.org/wiki/HDL}), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
+
+Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language, and you can write any algorithm with it. If you are able to write programs, you will find in VHDL features similar to those found in procedural languages such as @cite{C}, @cite{Python}, or @cite{Ada}. Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is an advantage for learning VHDL (it is an advantage in general as well).
+
+However, VHDL was not designed as a general purpose language but as an @cite{HDL}. As the name implies, VHDL aims at modeling or documenting electronics systems. Due to the nature of hardware components which are always running, VHDL is a highly concurrent language, built upon an event-based timing model.
+
+Like a program written in any other language, a VHDL program can be executed. Since VHDL is used to model designs, the term @emph{simulation} is often used instead of @cite{execution}, with the same meaning. At the same time, like a design written in another @cite{HDL}, a set of VHDL sources can be transformed with a @emph{synthesis tool} into a netlist, that is, a detailed gate-level implementation.
+
+The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}. Four revisions exist: 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/}, 2002@footnote{http://ieeexplore.ieee.org/document/1003477/} and 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}. The standardization is handled by the VHDL Analysis and Standardization Group (VASG/P1076@footnote{http://www.eda-twiki.org/vasg/}).
+
+@node What is GHDL?,Who uses GHDL?,What is VHDL?,About GHDL
+@anchor{about what-is-ghdl}@anchor{6}@anchor{about intro-ghdl}@anchor{7}
+@section What is GHDL?
-@node What is VHDL?,What is GHDL?,Content of this manual,Introduction
-@anchor{Introduction what-is-vhdl}@anchor{5}
-@section What is @cite{VHDL}?
+@cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning). It is a VHDL compiler that can execute (nearly) any VHDL program. GHDL is @emph{not} a synthesis tool: you cannot create a netlist with GHDL (yet).
-@cite{VHDL} is an acronym for Very High Speed Integrated Circuit Hardware
-Description Language which is a programming language used to describe a
-logic circuit by function, data flow behaviour, or structure.
+Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as @cite{C} or @cite{C++}. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
-@cite{VHDL} @emph{is} a programming language: although @cite{VHDL} was
-not designed for writing general purpose programs, you can write any
-algorithm with the @cite{VHDL} language. If you are able to write
-programs, you will find in @cite{VHDL} features similar to those found
-in procedural languages such as @cite{C}, @cite{Python}, or @cite{Ada}.
-@cite{VHDL} derives most of its syntax and semantics from @cite{Ada}.
-Knowing @cite{Ada} is an advantage for learning @cite{VHDL} (it is an
-advantage in general as well).
+GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one) and runs on GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution}, Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™ , both on x86 and on x86_64.
-However, @cite{VHDL} was not designed as a general purpose language but as an
-@cite{HDL} (hardware description language). As the name implies, @cite{VHDL}
-aims at modeling or documenting electronics systems. Due to the nature
-of hardware components which are always running, @cite{VHDL} is a highly
-concurrent language, built upon an event-based timing model.
+The current version of GHDL does not contain any graphical viewer: you cannot see signal waves. You can still check the behavior of your design with a test bench. Moreover, the current version can produce a GHW@footnote{http://ghdl.readthedocs.io/en/latest/using/Simulation.html?highlight=GHW#cmdoption-wave}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files which can be viewed with a waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer}, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
-Like a program written in any other language, a @cite{VHDL} program
-can be executed. Since @cite{VHDL} is used to model designs, the term
-@emph{simulation} is often used instead of @cite{execution}, with the
-same meaning.
+GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. It supports the 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/} and 2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially, the latest, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}. PSL@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
-Like a program written in another hardware description language, a
-@cite{VHDL} program can be transformed with a @emph{synthesis tool}
-into a netlist, that is, a detailed gate-level implementation.
+Several third party projects are supported: VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, cocotb@footnote{https://github.com/potentialventures/cocotb} (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}), …
-@node What is GHDL?,,What is VHDL?,Introduction
-@anchor{Introduction what-is-ghdl}@anchor{6}
-@section What is @cite{GHDL}?
+@node Who uses GHDL?,,What is GHDL?,About GHDL
+@anchor{about intro-who}@anchor{8}@anchor{about who-uses-ghdl}@anchor{9}
+@section Who uses GHDL?
-@cite{GHDL} is a shorthand for G Hardware Design Language. Currently,
-@cite{G} has no meaning.
-@cite{GHDL} is a @cite{VHDL} compiler that can execute (nearly) any
-@cite{VHDL} program. @cite{GHDL} is @emph{not} a synthesis tool: you cannot
-create a netlist with @cite{GHDL}.
+@multitable {xxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
-Unlike some other simulators, @cite{GHDL} is a compiler: it directly
-translates a @cite{VHDL} file to machine code, using the @cite{GCC} or @cite{LLVM}
-back-end and without using an intermediary language such as @cite{C}
-or @cite{C++}. Therefore, the compiled code should be faster and
-the analysis time should be shorter than with a compiler using an
-intermediary language.
+Project hub
-The Windows(TM) version of @cite{GHDL} is not based on @cite{GCC} but on
-an internal code generator.
+@tab
-The current version of @cite{GHDL} does not contain any graphical
-viewer: you cannot see signal waves. You can still check with a test
-bench. The current version can produce a @cite{VCD} file which can be
-viewed with a wave viewer, as well as @cite{ghw} files to be viewed by
-@cite{gtkwave}.
+Documentation
-@cite{GHDL} aims at implementing @cite{VHDL} as defined by IEEE 1076.
-It supports most of the 1987 standard and most features added by the
-1993 standard.
+@tab
-@node Starting with GHDL,Invoking GHDL,Introduction,Top
-@anchor{Starting_with_GHDL doc}@anchor{7}@anchor{Starting_with_GHDL starting-with-ghdl}@anchor{8}
-@chapter Starting with GHDL
+Name
+@tab
-In this chapter, you will learn how to use the GHDL compiler by
-working on two examples.
+Brief description
+
+@item
+
+>>|SHIELD:gh-poc|<<
+
+@tab
+
+>>|SHIELD:rtd-poc|<<
+
+@tab
+
+PoC-Library@footnote{https://github.com/VLSI-EDA/PoC}
+
+@tab
+
+A Vendor-Independent, Open-Source IP Core and Utility Library.
+
+@item
+
+>>|SHIELD:gh-vunit|<<
+
+@tab
+
+
+
+@tab
+
+VUnit@footnote{http://vunit.github.io/}
+
+@tab
+
+A unit testing framework for VHDL/SystemVerilog
+
+@item
+
+>>|SHIELD:gl-p1076|<<
+
+@tab
+
+>>|SHIELD:tw-p1076|<<
+
+@tab
+
+IEEE P1076 WG@footnote{http://www.eda-twiki.org/vasg/}
+
+@tab
+
+IEEE P1076 Working Group [VASG]
+
+@end multitable
+
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@c # This file provides the following shields: travis-ci appveyor release
+@c # license mailing gitter issues-new issues-open issues-closed issues-pr
+@c # issues-pr-closed github gh-logo
+
+@c # Use http://b64.io/ to encode any image to base64. Then replace `/` with
+@c # `%2F` and `+` with `%2B` (or use http://meyerweb.com/eric/tools/dencoder/).
+@c # Beware that `?logo=data:image/png;base64,` must also be converted to
+@c # percent encoding so that the URL is properly parsed.
+
+
+
+
+@node Contributing,Copyrights | Licenses,About GHDL,Top
+@anchor{contribute contributing}@anchor{a}@anchor{contribute doc}@anchor{b}@anchor{contribute intro-contributing}@anchor{c}
+@chapter Contributing
+
+
+The first step might be to use GHDL and explore it’s possibilities in an own project. If you are new to VHDL, see the
+@ref{d,,Quick Start Guide} for an introduction. Furthermore, we encourage you to read @ref{e,,Invoking GHDL}, where the most
+commonly used options are explained. You can also check the complete @ref{f,,Command Reference}.
+
+If you are more familiar with GHDL, you might start asking yourself how it works internally. Then, you might find
+@ref{10,,Implementation of VHDL} and @ref{11,,Implementation of VITAL} interesting.
+
+While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation or topics which are
+still not covered. In order to improve GHDL, we welcome bug reports, suggestions and contributions for any aspect of
+GHDL. Either if it’s a bug or an enhancement, have a look at the and to see
+if someone already told us about it. You might find a solution there. To get a broader view, you can also check the
+Roadmap@footnote{http://poc-library.readthedocs.io/en/release/ChangeLog/index.html#change}.
+
+If you found no information on your topic, please, report so that we are aware! You can reach us through various ways:
+ or open a .
+
+@cartouche
+@quotation Hint
+Since the development of GHDL started fifteen years ago, multiple platforms have been used as a support for both distribution and getting feedback. However, the development is now centralized in >>|SHIELD:gh-logo|<<.
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Tip
+How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questions.html}
+@end quotation
+@end cartouche
@menu
-* The hello world program::
+* Reporting bugs::
+* Requesting enhancements::
+* Improving the documentation::
+* Fork@comma{} modify and pull-request: Fork modify and pull-request.
+* Related interesting projects::
+
+@end menu
+
+@node Reporting bugs,Requesting enhancements,,Contributing
+@anchor{contribute reporting-bugs}@anchor{12}@anchor{contribute id1}@anchor{13}
+@section Reporting bugs
+
+
+@cartouche
+@quotation Tip
+
+@itemize *
+
+@item
+If the compiler crashes, this is a bug. Reliable tools never crash.
+
+@item
+If the compiler emits an error message for a perfectly valid input or does not emit an error message for an invalid input, this may be a bug.
+
+@item
+If the executable created from your VHDL sources crashes, this may be a bug at runtime or the code itself may be wrong. However, since VHDL has a notion of pointers, an erroneous VHDL program (using invalid pointers for example) may crash.
+
+@item
+If a compiler message is not clear enough, please tell us. The error messages can be improved, but we have not enough experience with them.
+@end itemize
+@end quotation
+@end cartouche
+
+Please, report issues of this kind through , as this allows us to categorize issues into groups and
+assign developers to them. You can track the issue’s state and see how it’s getting solved.
+
+@cartouche
+@quotation Important
+To make it easier, please elaborate a @cite{Minimum (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example}) prior to sending the report, so that the possible bug source is isolated. Shall the MWE compile and run, it is a good idea to make it look like a test and make an assert statement should finish the execution; the severity level @cite{note} indicates success, while a severity level @cite{failure} indicates failure.
+
+Then, please include enough information for the maintainers to reproduce the problem. This includes:
+
+
+@itemize *
+
+@item
+Operating system and version of GHDL (you can get it with @code{ghdl --version}).
+
+@item
+Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution (tell which release/tag).
+
+
+@itemize *
+
+@item
+If you cannot compile, please report which compiler you are using and the version.
+@end itemize
+
+@item
+Content of the input files which make the MWE
+
+@item
+Description of the problem:
+
+
+@itemize *
+
+@item
+Comment explaining whether the MWE should compile or not; if yes, whether or not is should run until the assertion.
+
+@item
+What you expected to happen and what you actually get. If you know the LRM well enough, please specify the paragraph which might be not well implemented.
+
+@item
+Samples of any log.
+
+@item
+Anything else that you think would be helpful.
+@end itemize
+@end itemize
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Note
+If you don’t know the LRM, be aware that an issue claimed as bug report may be rejected because there is no bug according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons with other VHDL is not a solid argument. Some of them are supported by GHDL (see @ref{14,,IEEE library pitfalls}), but any such enhancement will have very low priority.
+@end quotation
+@end cartouche
+
+@node Requesting enhancements,Improving the documentation,Reporting bugs,Contributing
+@anchor{contribute requesting-enhancements}@anchor{15}@anchor{contribute id2}@anchor{16}
+@section Requesting enhancements
+
+
+
+
+All enhancements and feature requests are welcome. Please open a new issue@footnote{https://github.com/tgingold/ghdl/issues/new} to report any, so you can track the request’s status and implementation. Depending on the complexity of the request, you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, to polish it before opening an issue.
+
+@node Improving the documentation,Fork modify and pull-request,Requesting enhancements,Contributing
+@anchor{contribute improving-the-documentation}@anchor{17}
+@section Improving the documentation
+
+
+If you found a mistake in the documentation, please send a comment. If you didn’t understand some parts of this manual,
+please tell us. English is not our mother tongue, so this documentation may not be well-written.
+
+Likewise, rewriting part of the documentation or missing content (such as, examples) is a good way to improve it. Since
+it automatically is built from @cite{reStructuredText} and @cite{Markdown} sources, you can fork, modify and request the
+maintainers to pull your copy. See @ref{18,,Fork@comma{} modify and pull-request}.
+
+@node Fork modify and pull-request,Related interesting projects,Improving the documentation,Contributing
+@anchor{contribute pull-request}@anchor{18}@anchor{contribute fork-modify-and-pull-request}@anchor{19}
+@section Fork, modify and pull-request
+
+
+@cartouche
+@quotation Tip
+
+@itemize *
+
+@item
+Before starting any modification, you might want to have a look at and , to check which other contributions are being made or have been made. If you observe that the modifications you are about to start might conflict with any other, please or open a to coordinate.
+
+@item
+See section @ref{1a,,Directory Structure} to faster find the location of the sources you need to modify, and/or to know where to place new ones.
+@end itemize
+@end quotation
+@end cartouche
+
+Contributing source code/documentation via Git@footnote{https://git-scm.com/} is very easy. Although we don’t provide direct
+write access to our repositories, the project is hosted at GitHub, which follows a fork, edit and pull-request
+flow@footnote{https://help.github.com/articles/github-flow/} . That is:
+
+
+@enumerate
+
+@item
+Make a copy (fork@footnote{https://help.github.com/articles/fork-a-repo/}) of the project.
+
+@item
+Do the changes you wish (edit, add, rename, move and/or delete).
+
+@item
+When you think that the changes are ready to be merged, you notify the maintainers by opening a Pull Request@footnote{https://help.github.com/articles/creating-a-pull-request/} (PR).
+
+@item
+The maintainers will review the proposed changes and will reply in the corresponding thread if any further modification is required. If so, you can keep adding commits to the same branch, and the PR will be automatically updated.
+
+@item
+Last, the maintainers will merge your branch. You will be notified, the PR will be closed, and you’ll be allowed to delete the branch, if you want.
+@end enumerate
+
+@cartouche
+@quotation Tip
+
+@itemize *
+
+@item
+It is recommended to read A successful Git branching model@footnote{http://nvie.com/posts/a-successful-git-branching-model/} for a reference on how maintainers expect to handle multiple branches. However, our actual model is not as exhaustive as explained there.
+
+@item
+Some commit messages can automatically close@footnote{https://help.github.com/articles/closing-issues-via-commit-messages/} issues. This is a very useful feature, which you are not required to use. However beware that using @cite{fix} anywhere in the commit message can have side effects. If you closed any issue unexpectedly, just reply to it (even if it’s closed) so that maintainers can check it.
+@end itemize
+@end quotation
+@end cartouche
+
+@node Related interesting projects,,Fork modify and pull-request,Contributing
+@anchor{contribute related-interesting-projects}@anchor{1b}
+@section Related interesting projects
+
+
+If you have an interesting project, please send us feedback or get listed on our @ref{8,,Who uses GHDL?} page.
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@c # This file provides the following shields: travis-ci appveyor release
+@c # license mailing gitter issues-new issues-open issues-closed issues-pr
+@c # issues-pr-closed github gh-logo
+
+@c # Use http://b64.io/ to encode any image to base64. Then replace `/` with
+@c # `%2F` and `+` with `%2B` (or use http://meyerweb.com/eric/tools/dencoder/).
+@c # Beware that `?logo=data:image/png;base64,` must also be converted to
+@c # percent encoding so that the URL is properly parsed.
+
+
+
+
+@node Copyrights | Licenses,Quick Start Guide,Contributing,Top
+@anchor{licenses copyrights-licenses}@anchor{1c}@anchor{licenses doc}@anchor{1d}@anchor{licenses intro-copyrights}@anchor{1e}
+@chapter Copyrights | Licenses
+
+
+
+@itemize -
+
+@item
+The GHDL front-end, package @code{std.textio} and the runtime library, @code{grt}, are given under @ref{1f,,GNU GPLv2}.
+
+@item
+The documentation is given under @ref{20,,CC-BY-SA}.
+@end itemize
+
+@cartouche
+@quotation Warning
+As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without the VHDL sources. To my mind, this is not a real restriction, since it is pointless to distribute VHDL executable. Please, send a comment (@ref{15,,Requesting enhancements}) if you don’t like this policy.
+@end quotation
+@end cartouche
+
+
+@itemize -
+
+@item
+The following packages are copyrighted by third parties (see corresponding sources for more information):
+
+@quotation
+
+
+@itemize -
+
+@item
+These from library @code{ieee} are copyrighted by Institute of Electrical and Electronics Engineers (IEEE)@footnote{https://www.ieee.org} :
+
+@quotation
+
+
+@itemize -
+
+@item
+@code{numeric_bit} and @code{numeric_std}: the source files may be distributed without change, except as permitted by the standard; these may not be sold or distributed for profit. [see also IEEE 1076.3@footnote{http://ieeexplore.ieee.org/document/592543/} ]
+
+@item
+@code{std_logic_1164}, @code{Math_Real} and @code{Math_Complex}
+
+@item
+@code{VITAL_Primitives}, @code{VITAL_Timing} and @code{VITAL_Memory} [see also IEEE 1076.4@footnote{http://ieeexplore.ieee.org/document/954750/} ]
+@end itemize
+@end quotation
+
+@item
+The following sources may be used and distributed without restriction, provided that the copyright statements are not removed from the files and that any derivative work contains the copyright notice.
+
+@quotation
+
+
+@itemize -
+
+@item
+@code{synopsys} directory: @code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned} and @code{std_logic_textio} are copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
+
+@item
+@code{mentor} directory: @code{std_logic_arith} is copyrighted by Mentor Graphics@footnote{https://www.mentor.com}
+@end itemize
+@end quotation
+@end itemize
+@end quotation
+@end itemize
+
+@menu
+* GNU GPLv2::
+* CC-BY-SA::
+* List of Contributors::
+
+@end menu
+
+@node GNU GPLv2,CC-BY-SA,,Copyrights | Licenses
+@anchor{licenses lic-gplv2}@anchor{1f}@anchor{licenses gnu-gplv2}@anchor{21}
+@section GNU GPLv2
+
+
+GHDL is copyright © 2002 - 2017 Tristan Gingold.
+
+This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
+
+This program is distributed in the hope that it will be useful, but @strong{WITHOUT ANY WARRANTY}; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
+
+@node CC-BY-SA,List of Contributors,GNU GPLv2,Copyrights | Licenses
+@anchor{licenses lic-cc-by-sa}@anchor{20}@anchor{licenses cc-by-sa}@anchor{22}
+@section CC-BY-SA
+
+
+This is a free documentation; you can redistribute it and/or modify it under the terms of the Creative Commons Attribution-ShareAlike 4.0@footnote{https://creativecommons.org/licenses/by-sa/4.0/} license. You are free to @strong{share} (copy and redistribute the material in any medium or format) and/or @strong{adapt} (remix, transform, and build upon the material for any purpose, even commercially). We cannot revoke these freedoms as long as you follow the these terms:
+
+
+@itemize -
+
+@item
+@strong{Attribution}: you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such} ). You may do so in any reasonable manner, but not in any way that suggests we endorses you or your use.
+
+@item
+@strong{ShareAlike}: if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original.
+
+@item
+No additional restrictions: you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits.
+@end itemize
+
+See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/4.0/legalcode.txt} for more details.
+
+@node List of Contributors,,CC-BY-SA,Copyrights | Licenses
+@anchor{licenses lic-contributors}@anchor{23}@anchor{licenses list-of-contributors}@anchor{24}
+@section List of Contributors
+
+
+
+@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+Contributor @footnote{
+In alphabetical order.
+}
+
+@tab
+
+Role
+
+@item
+
+Baggett, Jonas
+
+@tab
+
+signal selection
+
+@item
+
+Bertram, Felix
+
+@tab
+
+VPI interface
+
+@item
+
+Davis, Brian
+
+@tab
+
+Windows Mcode builds
+
+@item
+
+Drummond, Brian
+
+@tab
+
+GCC 4.8.2 update, OSVVM port, some bugfixes
+
+@item
+
+Gingold, Tristan @footnote{
+Maintainer.
+}
+
+@tab
+
+@strong{Sole author of GHDL as a whole}
+
+@item
+
+Jensen, Adam
+
+@tab
+
+FreeBSD builds
+
+@item
+
+Koch, Markus
+
+@tab
+
+vendor pre-compile script for Lattice (GNU/Linux)
+
+@item
+
+Koontz, David
+
+@tab
+
+Mac OSX builds, LRM compliance work, bugfix analyses
+
+@item
+
+Lehmann, Patrick
+
+@tab
+
+Windows compile scripts, vendor library pre-compile scripts (win+lin), building in MinGW, AppVeyor integration.
+
+@item
+
+Martinez-Corral, Unai
+
+@tab
+
+Docker builds, Travis-CI & Docker, adapt/fix RTD theme
+
+@item
+
+van Rantwijk, Joris
+
+@tab
+
+Debian packaging
+
+@end multitable
+
+
+Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at
+
+With apologies to anyone who ought to be either on this table or in the GitHub contributor list, but isn’t. Thanks also to all those who have reported bugs and support issues, and often patches and testcases to either the late gna! website or sourceforge.net/p/ghdl-updates/tickets@footnote{https://sourceforge.net/p/ghdl-updates/tickets/} .
+
+
+__________________________________________________________________
+
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Quick Start Guide,Invoking GHDL,Copyrights | Licenses,Top
+@anchor{using/QuickStartGuide quick-start-guide}@anchor{25}@anchor{using/QuickStartGuide using-quickstart}@anchor{d}@anchor{using/QuickStartGuide doc}@anchor{26}
+@chapter Quick Start Guide
+
+
+In this chapter, you will learn how to use @cite{GHDL} by working on a few examples.
+
+@menu
+* The ‘Hello world’ program::
+* The heartbeat program::
* A full adder::
* Starting with a design::
@end menu
-@node The hello world program,A full adder,,Starting with GHDL
-@anchor{Starting_with_GHDL the-hello-world-program}@anchor{9}
-@section The hello world program
+@node The ‘Hello world’ program,The heartbeat program,,Quick Start Guide
+@anchor{using/QuickStartGuide the-hello-world-program}@anchor{27}
+@section The @cite{‘Hello world’} program
-To illustrate the large purpose of VHDL, here is a commented VHDL
-"Hello world" program.
+To illustrate the large purpose of @cite{VHDL}, here is a commented @cite{‘Hello world’} program which saved in a file named @code{hello.vhdl}:
@example
-- Hello world program.
@@ -317,62 +986,91 @@ begin
end behaviour;
@end example
-Suppose this program is contained in the file @code{hello.vhdl}.
-First, you have to compile the file; this is called @cite{analysis} of a design
-file in VHDL terms.
+@cartouche
+@quotation Tip
-@example
-$ ghdl -a hello.vhdl
-@end example
+@itemize *
-This command creates or updates a file @code{work-obj93.cf}, which
-describes the library @cite{work}. On GNU/Linux, this command generates a
-file @code{hello.o}, which is the object file corresponding to your
-VHDL program. The object file is not created on Windows.
+@item
+Both @code{.vhdl} and @code{.vhd} extensions are used for VHDL source files, while @code{.v} is used for Verilog.
-Then, you have to build an executable file.
+@item
+Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used. However, if you do, the latter should be used. The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default. However, GHDL has a relaxing option, @ref{28,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in comments.
+@end itemize
+@end quotation
+@end cartouche
-@example
-$ ghdl -e hello_world
-@end example
-The @code{-e} option means @emph{elaborate}. With this option, @cite{GHDL}
-creates code in order to elaborate a design, with the @code{hello_world}
-entity at the top of the hierarchy.
+@itemize -
-On GNU/Linux, if you have enabled the GCC backend during the compilation of @cite{GHDL},
-an executable program called @code{hello_world} which can be run is generated:
+@item
+First, you have to compile the file; this is called @cite{analysis} of a design file in @cite{VHDL} terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}. This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}.
-@example
-$ ghdl -r hello_world
-@end example
+@item
+Then, run @code{ghdl -e hello_world} in the @cite{shell}. Option @ref{29,,-e} means @emph{elaborate}, which is used to build a design, with the @code{hello_world} entity at the top of the hierarchy.
-or directly:
+@item
+Last, you can directly launch the simulation running @code{ghdl -r hello_world} in the @cite{shell}. The result of the simulation will be shown on screen:
+@end itemize
@example
-$ ./hello_world
+Hello world!
@end example
-On Windows or if the GCC backend was not enabled, no file is created.
-The simulation is launched using this command:
+@cartouche
+@quotation Hint
+If a GCC/LLVM variant of @cite{GHDL} is used:
+
-@example
-> ghdl -r hello_world
-@end example
+@itemize *
+
+@item
+@cite{Analysis} generates a file, @code{hello.o}, which is the object file corresponding to your @cite{VHDL} program. This is not created with mcode.
+
+@item
+The elaboration step is compulsory after the analysis and prior to launching the simulation; This wil generate an executable binary named @code{hello_world}.
+
+@item
+As a result, @ref{2a,,-r} is just a passthrough to the binary generated in the @cite{elaboration}. Therefore, the executable can be run directly, @code{./hello_world}. See @ref{2a,,-r} for more informartion.
+@end itemize
+@end quotation
+@end cartouche
+
+@cartouche
+@quotation Hint
+@ref{29,,-e} can be bypassed with mcode, since @ref{2a,,-r} actually elaborates the design and saves it on memory before running the simulation. But you can still use it to check for some elaboration problems.
+@end quotation
+@end cartouche
+
+@node The heartbeat program,A full adder,The ‘Hello world’ program,Quick Start Guide
+@anchor{using/QuickStartGuide the-heartbeat-program}@anchor{2b}
+@section The @cite{heartbeat} program
-The result of the simulation appears on the screen:
@example
-Hello world!
+entity hello_world is
+ port ( clk: out std_logic; )
+end hearbeat;
+
+architecture behaviour of hello_world is
+begin
+ -- Clock process definition
+ clk_process: process
+ begin
+ clk <= '0';
+ wait for clk_period/2;
+ clk <= '1';
+ wait for clk_period/2;
+ end process;
+end behaviour;
@end example
-@node A full adder,Starting with a design,The hello world program,Starting with GHDL
-@anchor{Starting_with_GHDL a-full-adder}@anchor{a}
+@node A full adder,Starting with a design,The heartbeat program,Quick Start Guide
+@anchor{using/QuickStartGuide a-full-adder}@anchor{2c}
@section A full adder
-VHDL is generally used for hardware design. This example starts with
-a full adder described in the @code{adder.vhdl} file:
+VHDL is generally used for hardware design. This example starts with a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder} described in a file named @code{adder.vhdl}:
@example
entity adder is
@@ -391,19 +1089,7 @@ begin
end rtl;
@end example
-You can analyze this design file:
-
-@example
-$ ghdl -a adder.vhdl
-@end example
-
-You can try to execute the @cite{adder} design, but this is useless,
-since nothing externally visible will happen. In order to
-check this full adder, a testbench has to be run. This testbench is
-very simple, since the adder is also simple: it checks exhaustively all
-inputs. Note that only the behaviour is tested, timing constraints are
-not checked. The file @code{adder_tb.vhdl} contains the testbench for
-the adder:
+You can analyze this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder} design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a @emph{testbench} has to be run. This testbench is very simple, since the adder is also simple: it checks exhaustively all inputs. Note that only the behaviour is tested, timing constraints are not checked. A file named @code{adder_tb.vhdl} contains the testbench for the adder:
@example
-- A testbench has no ports.
@@ -465,356 +1151,297 @@ begin
end behav;
@end example
-As usual, you should analyze the design:
+As usual, you should analyze the design, @code{ghdl -a adder_tb.vhdl}.
-@example
-$ ghdl -a adder_tb.vhdl
-@end example
-
-And build an executable for the testbench:
-
-@example
-$ ghdl -e adder_tb
-@end example
+@cartouche
+@quotation Hint
+Then, if required, elaborate the testbench: @code{ghdl -e adder_tb}. You do not need to specify which object files are required, since GHDL knows them and automatically adds them.
+@end quotation
+@end cartouche
-You do not need to specify which object files are required: GHDL knows them
-and automatically adds them in the executable. Now, it is time to run the
-testbench:
+Now, it is time to run the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
@example
-$ ghdl -r adder_tb
adder_tb.vhdl:52:7:(assertion note): end of test
@end example
-If your design is rather complex, you'd like to inspect signals. Signals
-value can be dumped using the VCD file format. The resulting file can be
-read with a wave viewer such as GTKWave. First, you should simulate your
-design and dump a waveform file:
-
-@example
-$ ghdl -r adder_tb --vcd=adder.vcd
-@end example
-
-Then, you may now view the waves:
+If your design is rather complex, you’d like to inspect signals. Signal values can be dumped using multiple formats (see section ‘@ref{2d,,Export waveforms}’ for more information). The resulting file can be read with a wave viewer such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
-@example
-$ gtkwave adder.vcd
-@end example
+As explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say VCD: @code{ghdl -r adder_tb --vcd=adder.vcd}. Then, you can view the dump: @code{gtkwave adder.vcd}.
-See @ref{b,,Simulation options}, for more details on the @ref{c,,--vcd} option and
-other runtime options.
+See section ‘@ref{2e,,Simulation options}’, for more details on other runtime options.
-@node Starting with a design,,A full adder,Starting with GHDL
-@anchor{Starting_with_GHDL starting-with-a-design}@anchor{d}
+@node Starting with a design,,A full adder,Quick Start Guide
+@anchor{using/QuickStartGuide starting-with-a-design}@anchor{2f}
@section Starting with a design
-Unless you are only studying VHDL, you will work with bigger designs than
-the ones of the previous examples.
+Unless you are only studying VHDL, you will work with larger designs than the ones of the previous examples. Let’s see how to analyze and run a bigger design, such as the DLX model suite written by Peter Ashenden which is distributed under the terms of the GNU General Public License. A copy is kept on ghdl.free.fr/dlx.tar.gz@footnote{http://ghdl.free.fr/dlx.tar.gz} .
-Let's see how to analyze and run a bigger design, such as the DLX model
-suite written by Peter Ashenden which is distributed under the terms of the
-GNU General Public License. A copy is kept on
-@indicateurl{http://ghdl.free.fr/dlx.tar.gz}
-First, untar the sources:
+@itemize -
-@example
-$ tar zxvf dlx.tar.gz
-@end example
+@item
+First, untar the sources: @code{tar zxvf dlx.tar.gz}.
+@end itemize
-In order not to pollute the sources with the library, it is a good idea
-to create a @code{work/} subdirectory for the @cite{WORK} library. To
-any GHDL commands, we will add the @code{--workdir=work} option, so
-that all files generated by the compiler (except the executable) will be
-placed in this directory.
+@cartouche
+@quotation Hint
+In order not to pollute the sources with the library, it is a good idea to create a @code{work/} subdirectory for the @cite{WORK} library. To any GHDL commands, we will add the @code{--workdir=work} option, so that all files generated by the compiler (except the executable) will be placed in this directory.
@example
$ cd dlx
$ mkdir work
@end example
+@end quotation
+@end cartouche
-We will run the @code{dlx_test_behaviour} design. We need to analyze
-all the design units for the design hierarchy, in the correct order.
-GHDL provides an easy way to do this, by importing the sources:
-
-@example
-$ ghdl -i --workdir=work *.vhdl
-@end example
-
-and making a design:
-@example
-$ ghdl -m --workdir=work dlx_test_behaviour
-@end example
+@itemize *
-Before this second stage, GHDL knows all the design units of the DLX,
-but no one have been analyzed. The make command of GHDL analyzes and
-elaborates a design. This creates many files in the @code{work/}
-directory, and the @code{dlx_test_behaviour} executable in the current
-directory.
+@item
+Then, we will run the @code{dlx_test_behaviour} design. We need to analyze all the design units for the design hierarchy, in the correct order. GHDL provides an easy way to do this, by importing the sources, @code{ghdl -i --workdir=work *.vhdl}.
-The simulation needs to have a DLX program contained in the file
-@code{dlx.out}. This memory image will be be loaded in the DLX memory.
-Just take one sample:
+@item
+GHDL knows all the design units of the DLX, but no one have been analyzed. Run the make option, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyzes and elaborates a design. This creates many files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current directory.
+@end itemize
-@example
-$ cp test_loop.out dlx.out
-@end example
+@cartouche
+@quotation Hint
+The simulation needs to have a DLX program contained in the file @code{dlx.out}. This memory image will be loaded in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
+@end quotation
+@end cartouche
-And you can run the test suite:
-@example
-$ ghdl -r --workdir=work dlx_test_behaviour
-@end example
+@itemize *
-The test bench monitors the bus and displays each instruction executed.
-It finishes with an assertion of severity level note:
+@item
+Now, you can run the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench monitors the bus and displays each instruction executed. It finishes with an assertion of severity level note:
@example
dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
encountered, execution halted
@end example
-Since the clock is still running, you have to manually stop the program
-with the @code{C-c} key sequence. This behavior prevents you from running the
-test bench in batch mode. However, you may force the simulator to
-stop when an assertion above or equal a certain severity level occurs:
-
-@example
-$ ghdl -r --workdir=work dlx_test_behaviour --assert-level=note
-@end example
-
-With this option, the program stops just after the previous message:
+@item
+Last, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This behavior prevents you from running the test bench in batch mode. However, you may force the simulator to stop when an assertion above or equal a certain severity level occurs. To do so, call run with this option instead: @code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With this option, the program stops just after the previous message:
@example
dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
encountered, execution halted
error: assertion failed
@end example
+@end itemize
+@cartouche
+@quotation Tip
If you want to make room on your hard drive, you can either:
@itemize *
@item
-clean the design library with the GHDL command:
-
-@example
-$ ghdl --clean --workdir=work
-@end example
+Clean the design library with the GHDL command @code{ghdl --clean --workdir=work}. This removes the executable and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
-This removes the executable and all the object files. If you want to
-rebuild the design at this point, just do the make command as shown
-above.
+@item
+Remove the design library with the GHDL command @code{ghdl --remove --workdir=work}. This removes the executable, all the object files and the library file. If you want to rebuild the design, you have to import the sources again, and to make the design.
@item
-remove the design library with the GHDL command:
+Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is kept. If you want to rebuild the design, create the @code{work/} directory, import the sources, and make the design.
+@end itemize
+@end quotation
+@end cartouche
-@example
-$ ghdl --remove --workdir=work
-@end example
+@cartouche
+@quotation Warning
+Sometimes, a design does not fully follow the VHDL standards. For example it uses the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @code{--ieee=synopsys -fexplicit}. See section ‘@ref{14,,IEEE library pitfalls}’, for more details.
+@end quotation
+@end cartouche
-This removes the executable, all the object files and the library file.
-If you want to rebuild the design, you have to import the sources again,
-and to make the design.
+@c # preload commonly known graphical characters like (c)
-@item
-remove the @code{work/} directory:
+@c This data file has been placed in the public domain.
-@example
-$ rm -rf work
-@end example
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Invoking GHDL,Simulation and runtime,Quick Start Guide,Top
+@anchor{using/InvokingGHDL using-invoking}@anchor{e}@anchor{using/InvokingGHDL doc}@anchor{30}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{31}
+@chapter Invoking GHDL
+
+
+The form of the @code{ghdl} command is @code{ghdl command [options...]}. There are multiple available commands, but these general rules apply:
+
+
+@itemize *
+
+@item
+The first argument selects the command. The options are used to slightly modify the action.
-Only the executable is kept. If you want to rebuild the design, create
-the @code{work/} directory, import the sources, and make the design.
+@item
+No option is allowed before the command. Except for the run command, no option is allowed after a filename or a unit name.
@end itemize
-Sometimes, a design does not fully follow the VHDL standards. For example it
-uses the badly engineered @code{std_logic_unsigned} package. GHDL supports
-this VHDL dialect through some options:
+@cartouche
+@quotation Hint
+If the number of options is large and the command line length is beyond the system limit, you can use a response file. An argument that starts with a @code{@@} is considered as a response file; it is replaced by arguments read from the file (separated by blanks and end of line).
+@end quotation
+@end cartouche
-@example
---ieee=synopsys -fexplicit
-@end example
+@cartouche
+@quotation Hint
+Only the most common commands and options are shown here. For most advanced and experimental features see section @ref{f,,Command Reference}.
+@end quotation
+@end cartouche
-See @ref{e,,IEEE library pitfalls}, for more details.
+@cartouche
+@quotation Warning
+During analysis and elaboration GHDL may read the @code{std} and @code{ieee} files. The location of these files is based on the prefix, which is (in priority order):
-@node Invoking GHDL,Simulation and runtime,Starting with GHDL,Top
-@anchor{Invoking_GHDL invoking-ghdl}@anchor{f}@anchor{Invoking_GHDL doc}@anchor{10}
-@chapter Invoking GHDL
+@quotation
-The form of the @code{ghdl} command is:
+@itemize *
-@example
-ghdl command [options...]
-@end example
+@item
+the @code{--PREFIX} command line option
-The GHDL program has several commands. The first argument selects
-the command. The options are used to slightly modify the action.
+@item
+the
+@geindex GHDL_PREFIX
+@geindex environment variable; GHDL_PREFIX
+@ref{32,,GHDL_PREFIX} environment variable
-No option is allowed before the command. Except for the run command,
-no option is allowed after a filename or a unit name.
+@item
+a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the @code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
+@end itemize
-If the number of options is large and the command line length is
-beyond the system limit, you can use a response file. An argument that
-starts with a @code{@@} is considered as a response file; it is replaced
-by arguments read from the file (separated by blanks and end of line).
+You should use the @ref{33,,--disp-config} command to display and debug installation problems.
+@end quotation
+@end quotation
+@end cartouche
@menu
-* Building commands::
-* GHDL options::
-* Passing options to other programs::
-* GHDL Diagnostics Control::
-* GHDL warnings::
-* Rebuilding commands::
+* Design building commands::
+* Design rebuilding commands::
+* Options::
+* Warnings::
+* Diagnostics Control::
* Library commands::
-* Cross-reference command::
-* File commands::
-* Misc commands::
* VPI build commands::
-* Installation Directory::
* IEEE library pitfalls::
-* IEEE math packages::
@end menu
-@node Building commands,GHDL options,,Invoking GHDL
-@anchor{Invoking_GHDL building-commands}@anchor{11}
-@section Building commands
+@node Design building commands,Design rebuilding commands,,Invoking GHDL
+@anchor{using/InvokingGHDL design-building-commands}@anchor{34}
+@section Design building commands
The mostly used commands of GHDL are those to analyze and elaborate a design.
+@geindex cmd analysis
+
@menu
-* Analysis command::
-* Elaboration command::
-* Run command::
-* Elaborate and run command::
-* Bind command::
-* Link command::
-* List link command::
-* Check syntax command::
-* Analyze and elaborate command::
+* Analysis [-a]::
+* Elaboration [-e]::
+* Run [-r]::
+* Elaborate and run [--elab-run]::
+* Check syntax [-s]::
+* Analyze and elaborate [-c]::
@end menu
-@node Analysis command,Elaboration command,,Building commands
-@anchor{Invoking_GHDL analysis-command}@anchor{12}
-@subsection Analysis command
-
-
-@geindex analysis
+@node Analysis [-a],Elaboration [-e],,Design building commands
+@anchor{using/InvokingGHDL analysis-a}@anchor{35}
+@subsection Analysis [@code{-a}]
-@geindex -a command
-Analyze one or several files:
-
-@example
-ghdl -a [options...] file...
-@end example
+@geindex ghdl command line option; -a <[options...] file...>
+@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{36}
+@deffn {Option} @w{-}a <[options...] file...>
+@end deffn
-The analysis command compiles one or more files, and creates an
-object file for each source file. The analysis command is selected with
-@code{-a} switch. Any argument starting with a dash is an option, the
-others are filenames. No options are allowed after a filename
-argument. GHDL analyzes each filename in the given order, and stops the
-analysis in case of error (the following files are not analyzed).
+Analyzes/compiles one or more files, and creates an object file for each source file. Any argument starting with a dash is an option, the others are filenames. No options are allowed after a filename argument. GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not analyzed).
-See @ref{13,,GHDL options}, for details on the GHDL options. For example,
-to produce debugging information such as line numbers, use:
+See GHDL_options, for details on the GHDL options. For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
-@example
-ghdl -a -g my_design.vhdl
-@end example
+@geindex cmd elaboration
-@node Elaboration command,Run command,Analysis command,Building commands
-@anchor{Invoking_GHDL id1}@anchor{14}@anchor{Invoking_GHDL elaboration-command}@anchor{15}
-@subsection Elaboration command
+@node Elaboration [-e],Run [-r],Analysis [-a],Design building commands
+@anchor{using/InvokingGHDL elaboration-e}@anchor{37}
+@subsection Elaboration [@code{-e}]
-@geindex elaboration
+@geindex ghdl command line option; -e <[options...] primary_unit [secondary_unit]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{29}
+@deffn {Option} @w{-}e <[options...] primary_unit [secondary_unit]>
+@end deffn
-@geindex -e command
+Re-analyzes all the configurations, entities, architectures and package declarations, and creates the default configurations and the default binding indications according to the LRM rules. It also generates the list of objects files required for the executable. Then, it links all these files with the runtime library. The actual elaboration is performed at runtime.
-Elaborate a design:
-@example
-ghdl -e [options..] primary_unit [secondary_unit]
-@end example
+@itemize *
-On GNU/Linux, if the GCC backend was enabled during the compilation of @cite{GHDL},
-the elaboration command creates an executable containing the code of the @cite{VHDL}
-sources, the elaboration code and simulation code to execute a design
-hierarchy. The executable is created in the current directory.
-On Windows or if the GCC backend was not enabled, this command elaborates the design
-but does not generate anything.
+@item
+The elaboration command, @ref{29,,-e}, must be followed by a name of either:
-The elaboration command is selected with @code{-e} switch, and must be
-followed by either:
+@quotation
@itemize *
@item
-a name of a configuration unit
+a configuration unit
@item
-a name of an entity unit
+an entity unit
@item
-a name of an entity unit followed by a name of an architecture unit
+an entity unit followed by a name of an architecture unit
+@end itemize
+@end quotation
@end itemize
-Name of the units must be a simple name, without any dot. You can
-select the name of the @cite{WORK} library with the @code{--work=NAME}
-option, as described in @ref{13,,GHDL options}.
-
-See @ref{16,,Top entity}, for the restrictions on the root design of a
-hierarchy.
+Name of the units must be a simple name, without any dot. You can select the name of the @cite{WORK} library with the @code{--work=NAME} option, as described in GHDL_options. See section ‘@ref{38,,Top entity}’, for the restrictions on the root design of a hierarchy.
-On GNU/Linux the filename of the executable is the name of the
-primary unit, or for the later case, the concatenation of the name of
-the primary unit, a dash, and the name of the secondary unit (or
-architecture). On Windows there is no executable generated.
-The @code{-o} followed by a filename can override the default
-executable filename.
+@itemize *
-For the elaboration command, @cite{GHDL} re-analyzes all the
-configurations, entities, architectures and package declarations, and
-creates the default configurations and the default binding indications
-according to the LRM rules. It also generates the list of objects files
-required for the executable. Then, it links all these files with the
-runtime library.
+@item
+If the GCC/LLVM backend was enabled during the compilation of GHDL, the elaboration command creates an executable containing the code of the VHDL sources, the elaboration code and simulation code to execute a design hierarchy. The executable is created in the current directory and the the filename is the name of the primary unit, or for the later case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit (or architecture). Option @code{-o} followed by a filename can override the default executable filename.
-The actual elaboration is performed at runtime.
+@item
+If mcode is used, this command elaborates the design but does not generate anything. Since the run command also elaborates the design, this con be skipped.
+@end itemize
-On Windows this command can be skipped because it is also done by the
-run command.
+@geindex cmd run
-@node Run command,Elaborate and run command,Elaboration command,Building commands
-@anchor{Invoking_GHDL run-command}@anchor{17}@anchor{Invoking_GHDL id2}@anchor{18}
-@subsection Run command
+@node Run [-r],Elaborate and run [--elab-run],Elaboration [-e],Design building commands
+@anchor{using/InvokingGHDL run-r}@anchor{39}
+@subsection Run [@code{-r}]
-@geindex run
+@geindex ghdl command line option; -r <[options...] primary_unit [secondary_unit] [simulation_options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{2a}
+@deffn {Option} @w{-}r <[options...] primary_unit [secondary_unit] [simulation_options...]>
+@end deffn
-@geindex -r command
+Runs/simulates a design. The options and arguments are the same as for the elaboration command.
-Run (or simulate) a design:
-@example
-ghdl -r [options...] primary_unit [secondary_unit] [simulation_options...]
-@end example
+@itemize *
-The options and arguments are the same as for the elaboration command, @ref{15,,Elaboration command}.
+@item
+GGC/LLVM: simply, the filename of the executable is determined and it is executed. Options are ignored. You may also directly execute the program. The executable must be in the current directory.
-On GNU/Linux this command simply determines the filename of the executable
-and executes it. Options are ignored. You may also directly execute
-the program. The executable must be in the current directory.
+@item
+mcode: the design is elaborated and the simulation is launched. As a consequence, you must use the same options used during analysis.
+@end itemize
This command exists for three reasons:
@@ -822,183 +1449,210 @@ This command exists for three reasons:
@itemize *
@item
-You don't have to create the executable program name.
+You are using GCC/LLVM, but you don’t need to create the executable program name.
@item
-It is coherent with the @code{-a} and @code{-e} commands.
+It is coherent with the @ref{36,,-a} and @ref{29,,-e} commands.
@item
-It works with the Windows implementation, where the code is generated in
-memory.
+It works with mcode implementation, where the executable code is generated in memory.
@end itemize
-On Windows this command elaborates and launches the simulation. As a consequence
-you must use the same options used during analysis.
+See section ‘@ref{3a,,Simulation and runtime}’, for details on options.
-See @ref{19,,Simulation and runtime}, for details on options.
+@geindex cmd elaborate and run
-@node Elaborate and run command,Bind command,Run command,Building commands
-@anchor{Invoking_GHDL elaborate-and-run-command}@anchor{1a}
-@subsection Elaborate and run command
+@node Elaborate and run [--elab-run],Check syntax [-s],Run [-r],Design building commands
+@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{3b}
+@subsection Elaborate and run [@code{--elab-run}]
-@geindex elaborate and run
+@geindex ghdl command line option; --elab-run <[elab_options...] primary_unit [secondary_unit] [run_options...]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{3c}
+@deffn {Option} @w{-}@w{-}elab@w{-}run <[elab_options...] primary_unit [secondary_unit] [run_options...]>
+@end deffn
-@geindex --elab-run command
+Acts like the elaboration command (see @ref{29,,-e}) followed by the run command (see @ref{2a,,-r}).
-Elaborate and then simulate a design unit:
+@geindex cmd checking syntax
-@example
-ghdl --elab-run [elab_options...] primary_unit [secondary_unit] [run_options...]
-@end example
+@node Check syntax [-s],Analyze and elaborate [-c],Elaborate and run [--elab-run],Design building commands
+@anchor{using/InvokingGHDL check-syntax-s}@anchor{3d}
+@subsection Check syntax [@code{-s}]
-This command acts like the elaboration command (see @ref{15,,Elaboration command})
-followed by the run command (see @ref{17,,Run command}).
-@node Bind command,Link command,Elaborate and run command,Building commands
-@anchor{Invoking_GHDL bind-command}@anchor{1b}@anchor{Invoking_GHDL id3}@anchor{1c}
-@subsection Bind command
+@geindex ghdl command line option; -s <[options] files>
+@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{3e}
+@deffn {Option} @w{-}s <[options] files>
+@end deffn
+Analyze files but do not generate code. This command may be used to check the syntax of files. It does not update the library.
-@geindex binding
+@geindex cmd analyze and elaborate
-@geindex --bind command
+@node Analyze and elaborate [-c],,Check syntax [-s],Design building commands
+@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{3f}
+@subsection Analyze and elaborate [@code{-c}]
-Bind a design unit and prepare the link step:
-@example
-ghdl --bind [options] primary_unit [secondary_unit]
-@end example
+@geindex ghdl command line option; -c <[options] file... -<e|r> primary_unit [secondary_unit]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{40}
+@deffn {Option} @w{-}c <[options] file... @w{-}<e|r> primary_unit [secondary_unit]>
+@end deffn
-This command is only available on GNU/Linux.
+@cartouche
+@quotation Hint
+With GCC/LLVM, @ref{29,,-e} should be used, and @ref{2a,,-r} with mcode.
+@end quotation
+@end cartouche
-This performs only the first stage of the elaboration command; the list
-of objects files is created but the executable is not built. This
-command should be used only when the main entry point is not ghdl.
+The files are first parsed, and then a elaboration is performed, which drives an analysis. Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{36,,-a}. With GCC/LLVM, code is generated during the elaboration. With mcode, the simulation is launched after the elaboration.
-@node Link command,List link command,Bind command,Building commands
-@anchor{Invoking_GHDL link-command}@anchor{1d}@anchor{Invoking_GHDL id4}@anchor{1e}
-@subsection Link command
+All the units of the files are put into the @cite{work} library. But, the work library is neither read from disk nor saved. Therefore, you must give all the files of the @cite{work} library your design needs.
+The advantages over the traditional approach (analyze and then elaborate) are:
-@geindex linking
-@geindex --link command
+@itemize *
-Link an already bound design unit:
+@item
+The compilation cycle is achieved in one command.
-@example
-ghdl --link [options] primary_unit [secondary_unit]
-@end example
+@item
+Since the files are only parsed once, the compilation cycle may be faster.
-This performs only the second stage of the elaboration command: the
-executable is created by linking the files of the object files list.
-This command is available only for completeness. The elaboration command is
-equivalent to the bind command followed by the link command.
+@item
+You don’t need to know an analysis order.
-@node List link command,Check syntax command,Link command,Building commands
-@anchor{Invoking_GHDL list-link-command}@anchor{1f}@anchor{Invoking_GHDL id5}@anchor{20}
-@subsection List link command
+@item
+This command produces smaller executable, since unused units and subprograms do not generate code.
+@end itemize
+@cartouche
+@quotation Hint
+However, you should know that currently most of the time is spent in code generation and the analyze and elaborate command generate code for all units needed, even units of @code{std} and @code{ieee} libraries. Therefore, according to the design, the time for this command may be higher than the time for the analyze command followed by the elaborate command.
+@end quotation
+@end cartouche
-@geindex --list-link command
+@cartouche
+@quotation Warning
+This command is still under development. In case of problems, you should go back to the traditional way.
+@end quotation
+@end cartouche
-Display files which will be linked:
+@node Design rebuilding commands,Options,Design building commands,Invoking GHDL
+@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{41}
+@section Design rebuilding commands
-@example
-ghdl --list-link primary_unit [secondary_unit]
-@end example
-This command is only available on GNU/Linux.
+Analyzing and elaborating a design consisting in several files can be tricky, due to dependencies. GHDL has a few commands to rebuild a design.
-This command may be used only after a bind command. GHDL displays all
-the files which will be linked to create an executable. This command is
-intended to add object files in a link of a foreign program.
+@geindex cmd importing files
-@node Check syntax command,Analyze and elaborate command,List link command,Building commands
-@anchor{Invoking_GHDL id6}@anchor{21}@anchor{Invoking_GHDL check-syntax-command}@anchor{22}
-@subsection Check syntax command
+@menu
+* Import [-i]::
+* Make [-m]::
+* Generate Makefile [--gen-makefile]::
+* Generate dependency file command [--gen-depends]::
+@end menu
-@geindex checking syntax
+@node Import [-i],Make [-m],,Design rebuilding commands
+@anchor{using/InvokingGHDL import-i}@anchor{42}
+@subsection Import [@code{-i}]
-@geindex -s command
-Analyze files but do not generate code:
+@geindex ghdl command line option; -i <[options] file...>
+@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{43}
+@deffn {Option} @w{-}i <[options] file...>
+@end deffn
-@example
-ghdl -s [options] files
-@end example
+All the files specified in the command line are scanned, parsed and added in the libraries but as not yet analyzed. No object files are created. It’s purpose is to localize design units in the design files. The make command will then be able to recursively build a hierarchy from an entity name or a configuration name.
-This command may be used to check the syntax of files. It does not update
-the library.
+@cartouche
+@quotation Hint
-@node Analyze and elaborate command,,Check syntax command,Building commands
-@anchor{Invoking_GHDL analyze-and-elaborate-command}@anchor{23}@anchor{Invoking_GHDL id7}@anchor{24}
-@subsection Analyze and elaborate command
+@itemize *
+@item
+Note that all the files are added to the work library. If you have many libraries, you must use the command for each library.
-@geindex Analyze and elaborate command
+@item
+Since the files are parsed, there must be correct files. However, since they are not analyzed, many errors are tolerated by this command.
+@end itemize
+@end quotation
+@end cartouche
-@geindex -c command
+See @ref{44,,-m}, to actually build the design.
-Analyze files and elaborate them at the same time.
+@geindex cmd make
-On GNU/Linux:
+@node Make [-m],Generate Makefile [--gen-makefile],Import [-i],Design rebuilding commands
+@anchor{using/InvokingGHDL make-m}@anchor{45}
+@subsection Make [@code{-m}]
-@example
-ghdl -c [options] file... -e primary_unit [secondary_unit]
-@end example
-On Windows:
+@geindex ghdl command line option; -m <[options] primary [secondary]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{44}
+@deffn {Option} @w{-}m <[options] primary [secondary]>
+@end deffn
-@example
-ghdl -c [options] file... -r primary_unit [secondary_unit]
-@end example
+Analyze automatically outdated files and elaborate a design. The primary unit denoted by the @code{primary} argument must already be known by the system, either because you have already analyzed it (even if you have modified it) or because you have imported it. A file may be outdated because it has been modified (e.g. you just have edited it), or because a design unit contained in the file depends on a unit which is outdated. This rule is of course recursive.
-This command combines analysis and elaboration: files are analyzed and
-the unit is then elaborated. However, code is only generated during the
-elaboration. On Windows the simulation is launched.
-To be more precise, the files are first parsed, and then the elaboration
-drives the analysis. Therefore, there is no analysis order, and you don't
-need to care about it.
+@itemize *
-All the units of the files are put into the @cite{work} library. But, the
-work library is neither read from disk nor saved. Therefore, you must give
-all the files of the @cite{work} library your design needs.
+@item
+With option @ref{46,,--bind}, GHDL will stop before the final linking step. This is useful when the main entry point is not GHDL and you’re linking GHDL object files into a foreign program.
-The advantages over the traditional approach (analyze and then elaborate) are:
+@item
+With option @ref{47,,-f} (force), GHDL analyzes all the units of the work library needed to create the design hierarchy. Not outdated units are recompiled. This is useful if you want to compile a design hierarchy with new compilation flags (for example, to add the @emph{-g} debugging option).
+@end itemize
+The make command will only re-analyze design units in the work library. GHDL fails if it has to analyze an outdated unit from another library.
-@itemize *
+The purpose of this command is to be able to compile a design without prior knowledge of file order. In the VHDL model, some units must be analyzed before others (e.g. an entity before its architecture). It might be a nightmare to analyze a full design of several files, if you don’t have the ordered list of file. This command computes an analysis order.
-@item
-The compilation cycle is achieved in one command.
+The make command fails when a unit was not previously parsed. For example, if you split a file containing several design units into several files, you must either import these new files or analyze them so that GHDL knows in which file these units are.
-@item
-Since the files are only parsed once, the compilation cycle may be faster.
+The make command imports files which have been modified. Then, a design hierarchy is internally built as if no units are outdated. Then, all outdated design units, using the dependencies of the design hierarchy, are analyzed. If necessary, the design hierarchy is elaborated.
-@item
-You don't need to know an analysis order
+This is not perfect, since the default architecture (the most recently analyzed one) may change while outdated design files are analyzed. In such a case, re-run the make command of GHDL.
+
+@geindex cmd generate makefile
+
+@node Generate Makefile [--gen-makefile],Generate dependency file command [--gen-depends],Make [-m],Design rebuilding commands
+@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{48}
+@subsection Generate Makefile [@code{--gen-makefile}]
+
+
+@geindex ghdl command line option; --gen-makefile <[options] primary [secondary]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{49}
+@deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options] primary [secondary]>
+@end deffn
+
+This command works like the make command (see @ref{44,,-m}), but only a makefile is generated on the standard output.
+
+@geindex --gen-depends command
+
+@node Generate dependency file command [--gen-depends],,Generate Makefile [--gen-makefile],Design rebuilding commands
+@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{4a}
+@subsection Generate dependency file command [@code{--gen-depends}]
-@item
-This command produces smaller executable, since unused units and subprograms
-do not generate code.
-@end itemize
-However, you should know that currently most of the time is spent in code
-generation and the analyze and elaborate command generate code for all units
-needed, even units of @code{std} and @code{ieee} libraries. Therefore,
-according to the design, the time for this command may be higher than the time
-for the analyze command followed by the elaborate command.
+@geindex ghdl command line option; --gen-depends <[options] primary [secondary]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{4b}
+@deffn {Option} @w{-}@w{-}gen@w{-}depends <[options] primary [secondary]>
+@end deffn
+
+Generate a Makefile containing only dependencies to build a design unit.
-This command is still experimental. In case of problems, you should go back
-to the traditional way.
+This command works like the make and gen-makefile commands (see @ref{44,,-m}), but instead of a full makefile only dependencies without rules are generated on the standard output.
+Theses rules can then be integrated in another Makefile.
-@node GHDL options,Passing options to other programs,Building commands,Invoking GHDL
-@anchor{Invoking_GHDL ghdl-options}@anchor{13}@anchor{Invoking_GHDL id8}@anchor{25}
-@section GHDL options
+@node Options,Warnings,Design rebuilding commands,Invoking GHDL
+@anchor{using/InvokingGHDL options}@anchor{4c}
+@section Options
@geindex IEEE 1164
@@ -1009,52 +1663,44 @@ to the traditional way.
@geindex 1076.3
-Besides the options described below, @cite{GHDL} passes any debugging options
-(those that begin with @code{-g}) and optimizations options (those that
-begin with @code{-O} or @code{-f}) to @cite{GCC}. Refer to the @cite{GCC}
-manual for details.
+@cartouche
+@quotation Hint
+Besides the options described below, @cite{GHDL} passes any debugging options (those that begin with -g@footnote{http://poc-library.readthedocs.io/en/release/References/CmdRefs/PoC.html#cmdoption-poc-py-xsim-g}) and optimizations options (those that begin with -O@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-o} or @ref{47,,-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for details.
+@end quotation
+@end cartouche
+
+@geindex WORK library
-@c option::--work=<NAME>
-@c
-@c .. index:: WORK library
-@c
-@c Specify the name of the :samp:`WORK` library. Analyzed units are always
-@c placed in the library logically named :samp:`WORK`. With this option,
-@c you can set its name. By default, the name is :samp:`work`.
-@c
-@c `GHDL` checks whether :samp:`WORK` is a valid identifier. Although being
-@c more or less supported, the :samp:`WORK` identifier should not be an
-@c extended identifier, since the filesystem may prevent it from correctly
-@c working (due to case sensitivity or forbidden characters in filenames).
-@c
-@c `VHDL` rules forbid you to add units to the :samp:`std` library.
-@c Furthermore, you should not put units in the :samp:`ieee` library.
+@geindex ghdl command line option; --work<=NAME>
+@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{4d}
+@deffn {Option} @w{-}@w{-}work<=NAME>
-@geindex command line option; --workdir=<DIR>
-@anchor{Invoking_GHDL cmdoption--workdir}@anchor{26}
-@deffn {Option} @w{-}@w{-}workdir=<DIR>
+Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}. With this option, you can set its name. By default, the name is @code{work}.
-Specify the directory where the @code{WORK} library is located. When this
-option is not present, the @code{WORK} library is in the current
-directory. The object files created by the compiler are always placed
-in the same directory as the @code{WORK} library.
+@cite{GHDL} checks whether @code{WORK} is a valid identifier. Although being more or less supported, the @code{WORK} identifier should not be an extended identifier, since the filesystem may prevent it from correctly working (due to case sensitivity or forbidden characters in filenames).
-Use option @ref{27,,-P} to specify where libraries other than @code{WORK}
-are placed.
+@cite{VHDL} rules forbid you to add units to the @code{std} library. Furthermore, you should not put units in the @code{ieee} library.
@end deffn
-@geindex command line option; --std=<STD>
-@anchor{Invoking_GHDL cmdoption--std}@anchor{28}
-@deffn {Option} @w{-}@w{-}std=<STD>
+@geindex ghdl command line option; --workdir<=DIR>
+@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{4e}
+@deffn {Option} @w{-}@w{-}workdir<=DIR>
-Specify the standard to use. By default, the standard is @code{93c}, which
-means VHDL-93 accepting VHDL-87 syntax. For details on @code{STD} values see
-@ref{29,,VHDL standards}.
+Specify the directory where the @code{WORK} library is located. When this option is not present, the @code{WORK} library is in the current directory. The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
+
+Use option @code{-P} to specify where libraries other than @code{WORK} are placed.
@end deffn
-@geindex command line option; --ieee=<VER>
-@anchor{Invoking_GHDL cmdoption--ieee}@anchor{2a}
-@deffn {Option} @w{-}@w{-}ieee=<VER>
+@geindex ghdl command line option; --std<=STD>
+@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{4f}
+@deffn {Option} @w{-}@w{-}std<=STD>
+
+Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 accepting VHDL-87 syntax. For details on @code{STD} values see section ‘@ref{50,,VHDL standards}’.
+@end deffn
+
+@geindex ghdl command line option; --ieee<=VER>
+@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{51}
+@deffn {Option} @w{-}@w{-}ieee<=VER>
@geindex ieee library
@@ -1081,7 +1727,7 @@ packages @code{std_logic_1164} defined by IEEE 1164, the synthesis
packages , @code{numeric_bit} and @code{numeric_std} defined by IEEE
1076.3, and the @code{vital} packages @code{vital_timing} and
@code{vital_primitives}, defined by IEEE 1076.4. The version of these
-packages is defined by the VHDL standard used. See @ref{2b,,VITAL packages},
+packages is defined by the VHDL standard used. See section ‘@ref{52,,VITAL packages}’,
for more details.
@item synopsys
@@ -1105,8 +1751,8 @@ To avoid errors, you must use the same @cite{IEEE} library for all units of
your design, and during elaboration.
@end deffn
-@geindex command line option; -P<DIRECTORY>
-@anchor{Invoking_GHDL cmdoption-P}@anchor{27}
+@geindex ghdl command line option; -P<DIRECTORY>
+@anchor{using/InvokingGHDL cmdoption-ghdl-p-directory}@anchor{53}
@deffn {Option} @w{-}P<DIRECTORY>
Add @cite{DIRECTORY} to the end of the list of directories to be searched for
@@ -1115,31 +1761,30 @@ library files. A library is searched in @cite{DIRECTORY} and also in
the vhdl standard).
The @cite{WORK} library is always searched in the path specified by the
-@code{--workdir=} option, or in the current directory if the latter
+@code{--workdir} option, or in the current directory if the latter
option is not specified.
@end deffn
-@geindex command line option; -fexplicit
-@anchor{Invoking_GHDL cmdoption-fexplicit}@anchor{2c}
+@geindex ghdl command line option; -fexplicit
+@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{54}
@deffn {Option} @w{-}fexplicit
When two operators are overloaded, give preference to the explicit declaration.
This may be used to avoid the most common pitfall of the @code{std_logic_arith}
-package. See @ref{e,,IEEE library pitfalls}, for an example.
-
-This option is not set by default. I don't think this option is a
-good feature, because it breaks the encapsulation rule. When set, an
-operator can be silently overridden in another package. You'd better to fix
-your design and use the @code{numeric_std} package.
+package. See section ‘@ref{14,,IEEE library pitfalls}’, for an example.
@end deffn
-@geindex command line option; -frelaxed-rules
-@anchor{Invoking_GHDL cmdoption-frelaxed-rules}@anchor{2d}
+@cartouche
+@quotation Warning
+This option is not set by default. I don’t think this option is a good feature, because it breaks the encapsulation rule. When set, an operator can be silently overridden in another package. You’d better fix your design and use the @code{numeric_std} package.
+@end quotation
+@end cartouche
+
+@geindex ghdl command line option; -frelaxed-rules
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{55}
@deffn {Option} @w{-}frelaxed@w{-}rules
-Within an object declaration, allow to reference the name (which
-references the hidden declaration). This ignores the error in the
-following code:
+Within an object declaration, allow to reference the name (which references the hidden declaration). This ignores the error in the following code:
@example
package pkg1 is
@@ -1152,784 +1797,301 @@ package pkg2 is
end pkg2;
@end example
-Some code (such as Xilinx packages) have such constructs, which
-are valid.
+Some code (such as Xilinx packages) have such constructs, which are valid.
-(The scope of the @code{state1} constant start at the @cite{constant}
-word. Because the constant @code{state1} and the enumeration literal
-@code{state1} are homograph, the enumeration literal is hidden in the
-immediate scope of the constant).
+(The scope of the @code{state1} constant start at the @cite{constant} word. Because the constant @code{state1} and the enumeration literal @code{state1} are homograph, the enumeration literal is hidden in the immediate scope of the constant).
-This option also relaxes the rules about pure functions. Violations
-result in warnings instead of errors.
+This option also relaxes the rules about pure functions. Violations result in warnings instead of errors.
@end deffn
-@geindex command line option; -fpsl
-@anchor{Invoking_GHDL cmdoption-fpsl}@anchor{2e}
+@geindex ghdl command line option; -fpsl
+@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{56}
@deffn {Option} @w{-}fpsl
-Enable parsing of PSL assertions within comments. See @ref{2f,,PSL implementation},
-for more details.
+Enable parsing of PSL assertions within comments. See section ‘@ref{57,,PSL implementation}’ for more details.
@end deffn
-@geindex command line option; --no-vital-checks
-@anchor{Invoking_GHDL cmdoption--no-vital-checks}@anchor{30}
+@geindex ghdl command line option; --no-vital-checks
+@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{58}
@deffn {Option} @w{-}@w{-}no@w{-}vital@w{-}checks
@end deffn
-@geindex command line option; --vital-checks
-@anchor{Invoking_GHDL cmdoption--vital-checks}@anchor{31}
+@geindex ghdl command line option; --vital-checks
+@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{59}
@deffn {Option} @w{-}@w{-}vital@w{-}checks
-Disable or enable checks of restriction on VITAL units. Checks are enabled
-by default.
-
-Checks are performed only when a design unit is decorated by a VITAL attribute.
-The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both
-declared in the @code{ieee.VITAL_Timing} package.
-
-Currently, VITAL checks are only partially implemented. See
-@ref{32,,VHDL restrictions for VITAL}, for more details.
-@end deffn
-
-@geindex command line option; --syn-binding
-@anchor{Invoking_GHDL cmdoption--syn-binding}@anchor{33}
-@deffn {Option} @w{-}@w{-}syn@w{-}binding
-
-Use synthesizer rules for component binding. During elaboration, if a
-component is not bound to an entity using VHDL LRM rules, try to find
-in any known library an entity whose name is the same as the component
-name.
+Disable or enable checks of restriction on VITAL units. Checks are enabled by default.
-This rule is known as synthesizer rule.
+Checks are performed only when a design unit is decorated by a VITAL attribute. The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
-There are two key points: normal VHDL LRM rules are tried first and
-entities are searched only in known library. A known library is a
-library which has been named in your design.
-
-This option is only useful during elaboration.
+Currently, VITAL checks are only partially implemented. See section ‘@ref{5a,,VHDL restrictions for VITAL}’ for more details.
@end deffn
-@geindex command line option; --PREFIX=<PATH>
-@anchor{Invoking_GHDL cmdoption--PREFIX}@anchor{34}
-@deffn {Option} @w{-}@w{-}PREFIX=<PATH>
+@geindex ghdl command line option; --PREFIX<=PATH>
+@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{5b}
+@deffn {Option} @w{-}@w{-}PREFIX<=PATH>
-Use @code{PATH} as the prefix path to find commands and pre-installed (std and
-ieee) libraries.
+Use @code{PATH} as the prefix path to find commands and pre-installed (@code{std} and @code{ieee}) libraries.
@end deffn
-@geindex command line option; --GHDL1=<COMMAND>
-@anchor{Invoking_GHDL cmdoption--GHDL1}@anchor{35}
-@deffn {Option} @w{-}@w{-}GHDL1=<COMMAND>
-
-Use @code{COMMAND} as the command name for the compiler. If @code{COMMAND} is
-not a path, then it is searched in the path.
-@end deffn
-
-@geindex command line option; --AS=<COMMAND>
-@anchor{Invoking_GHDL cmdoption--AS}@anchor{36}
-@deffn {Option} @w{-}@w{-}AS=<COMMAND>
-
-Use @code{COMMAND} as the command name for the assembler. If @code{COMMAND} is
-not a path, then it is searched in the path. The default is @code{as}.
-@end deffn
-
-@geindex command line option; --LINK=<COMMAND>
-@anchor{Invoking_GHDL cmdoption--LINK}@anchor{37}
-@deffn {Option} @w{-}@w{-}LINK=<COMMAND>
-
-Use @code{COMMAND} as the linker driver. If @code{COMMAND} is
-not a path, then it is searched in the path. The default is @code{gcc}.
-@end deffn
-
-@geindex command line option; -v
-@anchor{Invoking_GHDL cmdoption-v}@anchor{38}
+@geindex ghdl command line option; -v
+@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{5c}
@deffn {Option} @w{-}v
-Be verbose. For example, for analysis, elaboration and make commands, GHDL
-displays the commands executed.
+Be verbose. For example, for analysis, elaboration and make commands, GHDL displays the commands executed.
@end deffn
-@node Passing options to other programs,GHDL Diagnostics Control,GHDL options,Invoking GHDL
-@anchor{Invoking_GHDL passing-options-to-other-programs}@anchor{39}
-@section Passing options to other programs
-
-
-These options are only available on GNU/Linux.
-
-For many commands, @cite{GHDL} acts as a driver: it invokes programs to perform
-the command. You can pass arbitrary options to these programs.
-
-Both the compiler and the linker are in fact GCC programs. See the
-GCC manual for details on GCC options.
-
-@geindex command line option; -Wc@comma{}<OPTION>
-@anchor{Invoking_GHDL cmdoption-Wc}@anchor{3a}
-@deffn {Option} @w{-}Wc,<OPTION>
+@node Warnings,Diagnostics Control,Options,Invoking GHDL
+@anchor{using/InvokingGHDL warnings}@anchor{5d}
+@section Warnings
-Pass @cite{OPTION} as an option to the compiler.
-@end deffn
-
-@geindex command line option; -Wa@comma{}<OPTION>
-@anchor{Invoking_GHDL cmdoption-Wa}@anchor{3b}
-@deffn {Option} @w{-}Wa,<OPTION>
-Pass @cite{OPTION} as an option to the assembler.
-@end deffn
-
-@geindex command line option; -Wl@comma{}<OPTION>
-@anchor{Invoking_GHDL cmdoption-Wl}@anchor{3c}
-@deffn {Option} @w{-}Wl,<OPTION>
-
-Pass @cite{OPTION} as an option to the linker.
-@end deffn
-
-@node GHDL Diagnostics Control,GHDL warnings,Passing options to other programs,Invoking GHDL
-@anchor{Invoking_GHDL ghdl-diagnostics-control}@anchor{3d}
-@section GHDL Diagnostics Control
-
-
-@geindex command line option; -fcolor-diagnostics
-@anchor{Invoking_GHDL cmdoption-fcolor-diagnostics}@anchor{3e}
-@deffn {Option} @w{-}fcolor@w{-}diagnostics
-@end deffn
-
-@geindex command line option; -fno-color-diagnostics
-@anchor{Invoking_GHDL cmdoption-fno-color-diagnostics}@anchor{3f}
-@deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
-
-Control whether diagnostic messages are displayed in color. The
-default is on when the standard output is a terminal.
-@end deffn
-
-@geindex command line option; -fdiagnostics-show-option
-@anchor{Invoking_GHDL cmdoption-fdiagnostics-show-option}@anchor{40}
-@deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
-@end deffn
+Some constructions are not erroneous but dubious. Warnings are diagnostic messages that report such constructions. Some warnings are reported only during analysis, others during elaboration.
-@geindex command line option; -fno-diagnostics-show-option
-@anchor{Invoking_GHDL cmdoption-fno-diagnostics-show-option}@anchor{41}
-@deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
-
-Control whether the warning option is displayed at the end of
-warning messages, so that user can easily know how to disable it.
-@end deffn
-
-@node GHDL warnings,Rebuilding commands,GHDL Diagnostics Control,Invoking GHDL
-@anchor{Invoking_GHDL ghdl-warnings}@anchor{42}
-@section GHDL warnings
-
-
-Some constructions are not erroneous but dubious. Warnings are diagnostic
-messages that report such constructions. Some warnings are reported only
-during analysis, others during elaboration.
-
-You could disable a warning by using the @code{--warn-no-XXX} or
-@code{-Wno-XX} instead of @code{--warn-XXX} or @code{-WXXX}.
+@cartouche
+@quotation Hint
+You could disable a warning by using the @code{--warn-no-XXX} or @code{-Wno-XX} instead of @code{--warn-XXX} or @code{-WXXX}.
+@end quotation
+@end cartouche
-@geindex command line option; --warn-reserved
-@anchor{Invoking_GHDL cmdoption--warn-reserved}@anchor{43}
+@geindex ghdl command line option; --warn-reserved
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{5e}
@deffn {Option} @w{-}@w{-}warn@w{-}reserved
Emit a warning if an identifier is a reserved word in a later VHDL standard.
@end deffn
-@geindex command line option; --warn-default-binding
-@anchor{Invoking_GHDL cmdoption--warn-default-binding}@anchor{44}
+@geindex ghdl command line option; --warn-default-binding
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{5f}
@deffn {Option} @w{-}@w{-}warn@w{-}default@w{-}binding
-During analyze, warns if a component instantiation has neither
-configuration specification nor default binding. This may be useful if you
-want to detect during analyze possibly unbound component if you don't use
-configuration. @ref{29,,VHDL standards}, for more details about default binding
-rules.
+During analyze, warns if a component instantiation has neither configuration specification nor default binding. This may be useful if you want to detect during analyze possibly unbound component if you don’t use configuration. See section ‘@ref{50,,VHDL standards}’ for more details about default binding rules.
@end deffn
-@geindex command line option; --warn-binding
-@anchor{Invoking_GHDL cmdoption--warn-binding}@anchor{45}
+@geindex ghdl command line option; --warn-binding
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{60}
@deffn {Option} @w{-}@w{-}warn@w{-}binding
-During elaboration, warns if a component instantiation is not bound
-(and not explicitly left unbound). Also warns if a port of an entity
-is not bound in a configuration specification or in a component
-configuration. This warning is enabled by default, since default
-binding rules are somewhat complex and an unbound component is most
-often unexpected.
+During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound). Also warns if a port of an entity is not bound in a configuration specification or in a component configuration. This warning is enabled by default, since default binding rules are somewhat complex and an unbound component is most often unexpected.
-However, warnings are even emitted if a component instantiation is
-inside a generate statement. As a consequence, if you use the conditional
-generate statement to select a component according to the implementation,
-you will certainly get warnings.
+However, warnings are even emitted if a component instantiation is inside a generate statement. As a consequence, if you use the conditional generate statement to select a component according to the implementation, you will certainly get warnings.
@end deffn
-@geindex command line option; --warn-library
-@anchor{Invoking_GHDL cmdoption--warn-library}@anchor{46}
+@geindex ghdl command line option; --warn-library
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{61}
@deffn {Option} @w{-}@w{-}warn@w{-}library
Warns if a design unit replaces another design unit with the same name.
@end deffn
-@geindex command line option; --warn-vital-generic
-@anchor{Invoking_GHDL cmdoption--warn-vital-generic}@anchor{47}
+@geindex ghdl command line option; --warn-vital-generic
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{62}
@deffn {Option} @w{-}@w{-}warn@w{-}vital@w{-}generic
Warns if a generic name of a vital entity is not a vital generic name. This
is set by default.
@end deffn
-@geindex command line option; --warn-delayed-checks
-@anchor{Invoking_GHDL cmdoption--warn-delayed-checks}@anchor{48}
+@geindex ghdl command line option; --warn-delayed-checks
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{63}
@deffn {Option} @w{-}@w{-}warn@w{-}delayed@w{-}checks
-Warns for checks that cannot be done during analysis time and are
-postponed to elaboration time. This is because not all procedure
-bodies are available during analysis (either because a package body
-has not yet been analysed or because @cite{GHDL} doesn't read not required
-package bodies).
+Warns for checks that cannot be done during analysis time and are postponed to elaboration time. This is because not all procedure bodies are available during analysis (either because a package body has not yet been analysed or because @cite{GHDL} doesn’t read not required package bodies).
-These are checks for no wait statement in a procedure called in a
-sensitized process and checks for pure rules of a function.
+These are checks for no wait statement in a procedure called in a sensitized process and checks for pure rules of a function.
@end deffn
-@geindex command line option; --warn-body
-@anchor{Invoking_GHDL cmdoption--warn-body}@anchor{49}
+@geindex ghdl command line option; --warn-body
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{64}
@deffn {Option} @w{-}@w{-}warn@w{-}body
-Emit a warning if a package body which is not required is analyzed. If a
-package does not declare a subprogram or a deferred constant, the package
-does not require a body.
+Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a deferred constant, the package does not require a body.
@end deffn
-@geindex command line option; --warn-specs
-@anchor{Invoking_GHDL cmdoption--warn-specs}@anchor{4a}
+@geindex ghdl command line option; --warn-specs
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{65}
@deffn {Option} @w{-}@w{-}warn@w{-}specs
Emit a warning if an all or others specification does not apply.
@end deffn
-@geindex command line option; --warn-unused
-@anchor{Invoking_GHDL cmdoption--warn-unused}@anchor{4b}
+@geindex ghdl command line option; --warn-unused
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{66}
@deffn {Option} @w{-}@w{-}warn@w{-}unused
Emit a warning when a subprogram is never used.
@end deffn
-@geindex command line option; --warn-error
-@anchor{Invoking_GHDL cmdoption--warn-error}@anchor{4c}
+@geindex ghdl command line option; --warn-error
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{67}
@deffn {Option} @w{-}@w{-}warn@w{-}error
When this option is set, warnings are considered as errors.
@end deffn
-@geindex command line option; --warn-nested-comment
-@anchor{Invoking_GHDL cmdoption--warn-nested-comment}@anchor{4d}
+@geindex ghdl command line option; --warn-nested-comment
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{68}
@deffn {Option} @w{-}@w{-}warn@w{-}nested@w{-}comment
Emit a warning if a @code{/*} appears within a block comment (vhdl 2008).
@end deffn
-@geindex command line option; --warn-parenthesis
-@anchor{Invoking_GHDL cmdoption--warn-parenthesis}@anchor{4e}
+@geindex ghdl command line option; --warn-parenthesis
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{69}
@deffn {Option} @w{-}@w{-}warn@w{-}parenthesis
Emit a warning in case of weird use of parenthesis
@end deffn
-@geindex command line option; --warn-runtime-error
-@anchor{Invoking_GHDL cmdoption--warn-runtime-error}@anchor{4f}
+@geindex ghdl command line option; --warn-runtime-error
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{6a}
@deffn {Option} @w{-}@w{-}warn@w{-}runtime@w{-}error
Emit a warning in case of runtime error that is detected during
analysis.
@end deffn
-@node Rebuilding commands,Library commands,GHDL warnings,Invoking GHDL
-@anchor{Invoking_GHDL rebuilding-commands}@anchor{50}
-@section Rebuilding commands
-
-
-Analyzing and elaborating a design consisting in several files can be tricky,
-due to dependencies. GHDL has a few commands to rebuild a design.
-
-@menu
-* Import command::
-* Make command::
-* Generate Makefile command::
-
-@end menu
-
-@node Import command,Make command,,Rebuilding commands
-@anchor{Invoking_GHDL import-command}@anchor{51}
-@subsection Import command
-
-
-@geindex importing files
-
-@geindex -i command
-
-Add files in the work design library:
-
-@example
-ghdl -i [options] file...
-@end example
-
-All the files specified in the command line are scanned, parsed and added in
-the libraries but as not yet analyzed. No object files are created.
-
-The purpose of this command is to localize design units in the design files.
-The make command will then be able to recursively build a hierarchy from
-an entity name or a configuration name.
-
-Since the files are parsed, there must be correct files. However, since they
-are not analyzed, many errors are tolerated by this command.
-
-Note that all the files are added to the work library. If you have many
-libraries, you must use the command for each library.
-
-See @ref{52,,Make command}, to actually build the design.
-
-@node Make command,Generate Makefile command,Import command,Rebuilding commands
-@anchor{Invoking_GHDL make-command}@anchor{52}@anchor{Invoking_GHDL id9}@anchor{53}
-@subsection Make command
-
-
-@geindex make
-
-@geindex -m command
-
-Analyze automatically outdated files and elaborate a design:
-
-@example
-ghdl -m [options] primary [secondary]
-@end example
-
-The primary unit denoted by the @code{primary} argument must already be
-known by the system, either because you have already analyzed it (even
-if you have modified it) or because you have imported it. GHDL analyzes
-all outdated files. A file may be outdated because it has been modified
-(e.g. you just have edited it), or because a design unit contained in
-the file depends on a unit which is outdated. This rule is of course
-recursive.
-
-With the @@code@{-b@} (bind only) option, GHDL will stop before the final linking
-step. This is useful when the main entry point is not GHDL and you're linking
-GHDL object files into a foreign program.
+@node Diagnostics Control,Library commands,Warnings,Invoking GHDL
+@anchor{using/InvokingGHDL diagnostics-control}@anchor{6b}
+@section Diagnostics Control
-With the @code{-f} (force) option, GHDL analyzes all the units of the
-work library needed to create the design hierarchy. Not outdated units
-are recompiled. This is useful if you want to compile a design hierarchy
-with new compilation flags (for example, to add the @emph{-g}
-debugging option).
-The make command will only re-analyze design units in the work library.
-GHDL fails if it has to analyze an outdated unit from another library.
-
-The purpose of this command is to be able to compile a design without prior
-knowledge of file order. In the VHDL model, some units must be analyzed
-before others (e.g. an entity before its architecture). It might be a
-nightmare to analyze a full design of several files, if you don't have
-the ordered list of file. This command computes an analysis order.
-
-The make command fails when a unit was not previously parsed. For
-example, if you split a file containing several design units into
-several files, you must either import these new files or analyze them so
-that GHDL knows in which file these units are.
+@geindex ghdl command line option; -fcolor-diagnostics
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{6c}
+@deffn {Option} @w{-}fcolor@w{-}diagnostics
+@end deffn
-The make command imports files which have been modified. Then, a design
-hierarchy is internally built as if no units are outdated. Then, all outdated
-design units, using the dependencies of the design hierarchy, are analyzed.
-If necessary, the design hierarchy is elaborated.
+@geindex ghdl command line option; -fno-color-diagnostics
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{6d}
+@deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
-This is not perfect, since the default architecture (the most recently
-analyzed one) may change while outdated design files are analyzed. In
-such a case, re-run the make command of GHDL.
+Control whether diagnostic messages are displayed in color. The default is on when the standard output is a terminal.
+@end deffn
-@node Generate Makefile command,,Make command,Rebuilding commands
-@anchor{Invoking_GHDL generate-makefile-command}@anchor{54}
-@subsection Generate Makefile command
+@geindex ghdl command line option; -fdiagnostics-show-option
+@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{6e}
+@deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
+@end deffn
+@geindex ghdl command line option; -fno-diagnostics-show-option
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{6f}
+@deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
-@geindex --gen-makefile command
+Control whether the warning option is displayed at the end of warning messages, so that user can easily know how to disable it.
+@end deffn
-Generate a Makefile to build a design unit:
+@node Library commands,VPI build commands,Diagnostics Control,Invoking GHDL
+@anchor{using/InvokingGHDL library-commands}@anchor{70}
+@section Library commands
-@example
-ghdl --gen-makefile [options] primary [secondary]
-@end example
+@anchor{using/InvokingGHDL create-a-library}@anchor{71}
+@geindex create your own library
-This command works like the make command (see @ref{52,,Make command}), but only a
-makefile is generated on the standard output.
+A new library is created implicitly, by compiling entities (packages etc.) into it: @code{ghdl -a --work=my_custom_lib my_file.vhd}.
-@node Library commands,Cross-reference command,Rebuilding commands,Invoking GHDL
-@anchor{Invoking_GHDL library-commands}@anchor{55}
-@section Library commands
+A library’s source code is usually stored and compiled into its own directory, that you specify with the @code{--workdir} option: @code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhd}. See also the @code{-P} command line option.
+Furthermore, GHDL provides a few commands which act on a library:
-GHDL has a few commands which act on a library.
+@geindex cmd library directory
@menu
-* Directory command::
-* Clean command::
-* Remove command::
-* Copy command::
-* Create a Library::
+* Directory [--dir]::
+* Clean [--clean]::
+* Remove [--remove]::
+* Copy [--copy]::
@end menu
-@node Directory command,Clean command,,Library commands
-@anchor{Invoking_GHDL directory-command}@anchor{56}
-@subsection Directory command
+@node Directory [--dir],Clean [--clean],,Library commands
+@anchor{using/InvokingGHDL directory-dir}@anchor{72}
+@subsection Directory [@code{--dir}]
-@geindex displaying library
-
-@geindex --dir command
-
-@c option::--dir
-
-Display the name of the units contained in a design library:
-
-@example
-ghdl --dir [options] [libs]
-@end example
-
-The directory command, selected with the @cite{--dir} command line argument
-displays the content of the design libraries (by default the
-@code{work} library). All options are
-allowed, but only a few are meaningful: @code{--work=NAME},
-@code{--workdir=PATH} and @code{--std=VER}.
-
-@node Clean command,Remove command,Directory command,Library commands
-@anchor{Invoking_GHDL clean-command}@anchor{57}
-@subsection Clean command
-
-
-@geindex cleaning
+@geindex ghdl command line option; --dir <[options] [libs]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{73}
+@deffn {Option} @w{-}@w{-}dir <[options] [libs]>
+@end deffn
-@geindex --clean command
+Displays the content of the design libraries (by default the @code{work} library). All options are allowed, but only a few are meaningful: @code{--work}, @code{--workdir} and --std@footnote{http://poc-library.readthedocs.io/en/release/References/CmdRefs/PoC.html#cmdoption-poc-py-xsim-std}.
-Remove object and executable files but keep the library:
+@geindex cmd library clean
-@example
-ghdl --clean [options]
-@end example
+@node Clean [--clean],Remove [--remove],Directory [--dir],Library commands
+@anchor{using/InvokingGHDL clean-clean}@anchor{74}
+@subsection Clean [@code{--clean}]
-GHDL tries to remove any object, executable or temporary file it could
-have created. Source files are not removed.
-There is no short command line form for this option to prevent accidental
-clean up.
-
-@node Remove command,Copy command,Clean command,Library commands
-@anchor{Invoking_GHDL id10}@anchor{58}@anchor{Invoking_GHDL remove-command}@anchor{59}
-@subsection Remove command
+@geindex ghdl command line option; --clean <[options]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{75}
+@deffn {Option} @w{-}@w{-}clean <[options]>
+@end deffn
+Try to remove any object, executable or temporary file it could have created. Source files are not removed. The library is kept.
-@geindex cleaning all
+@geindex cmd library remove
-@geindex --remove command
+@node Remove [--remove],Copy [--copy],Clean [--clean],Library commands
+@anchor{using/InvokingGHDL remove-remove}@anchor{76}
+@subsection Remove [@code{--remove}]
-Do like the clean command but remove the library too:
-@example
-ghdl --remove [options]
-@end example
+@geindex ghdl command line option; --remove <[options]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{77}
+@deffn {Option} @w{-}@w{-}remove <[options]>
+@end deffn
-There is no short command line form for this option to prevent accidental
-clean up. Note that after removing a design library, the files are not
+Do like the clean command but remove the library too. Note that after removing a design library, the files are not
known anymore by GHDL.
-@node Copy command,Create a Library,Remove command,Library commands
-@anchor{Invoking_GHDL id11}@anchor{5a}@anchor{Invoking_GHDL copy-command}@anchor{5b}
-@subsection Copy command
-
-
-@geindex copying library
+@geindex cmd library copy
-@geindex --copy command
+@node Copy [--copy],,Remove [--remove],Library commands
+@anchor{using/InvokingGHDL copy-copy}@anchor{78}
+@subsection Copy [@code{--copy}]
-Make a local copy of an existing library:
-@example
-ghdl --copy --work=name [options]
-@end example
+@geindex ghdl command line option; --copy <--work=name [options]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{79}
+@deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options]>
+@end deffn
-Make a local copy of an existing library. This is very useful if you want to
-add unit to the @code{ieee} library:
+Make a local copy of an existing library. This is very useful if you want to add unit to the @code{ieee} library:
@example
ghdl --copy --work=ieee --ieee=synopsys
ghdl -a --work=ieee numeric_unsigned.vhd
@end example
-@node Create a Library,,Copy command,Library commands
-@anchor{Invoking_GHDL id12}@anchor{5c}@anchor{Invoking_GHDL create-a-library}@anchor{5d}
-@subsection Create a Library
-
-
-@geindex create your own library
-
-A new library is created by compiling entities (packages etc.) into it:
-
-@example
-ghdl -a --work=my_custom_lib my_file.vhd
-@end example
-
-A library's source code is usually stored and compiled into its own directory,
-that you specify with the @ref{26,,--workdir} option:
-
-@example
-ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhd
-@end example
-
-See also the @code{-PPATH} command line option.
-
-@node Cross-reference command,File commands,Library commands,Invoking GHDL
-@anchor{Invoking_GHDL id13}@anchor{5e}@anchor{Invoking_GHDL cross-reference-command}@anchor{5f}
-@section Cross-reference command
-
-
-To easily navigate through your sources, you may generate cross-references:
-
-@example
-ghdl --xref-html [options] file...
-@end example
-
-This command generates an html file for each @code{file} given in the command
-line, with syntax highlighting and full cross-reference: every identifier is
-a link to its declaration. Besides, an index of the files is created too.
-
-The set of @code{file} are analyzed, and then, if the analysis is
-successful, html files are generated in the directory specified by the
-@code{-o dir} option, or @code{html/} directory by default.
-
-If the option @code{--format=html2} is specified, then the generated html
-files follow the HTML 2.0 standard, and colours are specified with
-@cite{<FONT>} tags. However, colours are hard-coded.
-
-If the option @code{--format=css} is specified, then the generated html files
-follow the HTML 4.0 standard, and use the CSS-1 file @code{ghdl.css} to
-specify colours. This file is generated only if it does not already exist (it
-is never overwritten) and can be customized by the user to change colours or
-appearance. Refer to a generated file and its comments for more information.
-
-@node File commands,Misc commands,Cross-reference command,Invoking GHDL
-@anchor{Invoking_GHDL file-commands}@anchor{60}
-@section File commands
-
-
-The following commands act on one or several files. They do not analyze
-files, therefore, they work even if a file has semantic errors.
-
-@menu
-* Pretty print command::
-* Find command::
-* Chop command::
-* Lines command::
-
-@end menu
-
-@node Pretty print command,Find command,,File commands
-@anchor{Invoking_GHDL pretty-print-command}@anchor{61}
-@subsection Pretty print command
-
-
-@geindex --pp-html command
-
-@geindex pretty printing
-
-@geindex vhdl to html
-
-Generate HTML on standard output from VHDL:
-
-@example
-ghdl --pp-html [options] file...
-@end example
-
-The files are just scanned and an html file, with syntax highlighting is
-generated on standard output.
-
-Since the files are not even parsed, erroneous files or incomplete designs
-can be pretty printed.
-
-The style of the html file can be modified with the @code{--format=} option.
-By default or when the @code{--format=html2} option is specified, the output
-is an HTML 2.0 file, with colours set through @cite{<FONT>} tags. When the
-@code{--format=css} option is specified, the output is an HTML 4.0 file,
-with colours set through a CSS file, whose name is @code{ghdl.css}.
-See @ref{5f,,Cross-reference command}, for more details about this CSS file.
-
-@node Find command,Chop command,Pretty print command,File commands
-@anchor{Invoking_GHDL find-command}@anchor{62}
-@subsection Find command
-
-
-@geindex -f command
-
-Display the name of the design units in files:
-
-@example
-ghdl -f file...
-@end example
-
-The files are scanned, parsed and the names of design units are displayed.
-Design units marked with two stars are candidate to be at the apex of a
-design hierarchy.
-
-@node Chop command,Lines command,Find command,File commands
-@anchor{Invoking_GHDL chop-command}@anchor{63}
-@subsection Chop command
-
-
-@geindex --chop command
-
-Chop (or split) files at design unit:
-
-@example
-ghdl --chop files
-@end example
-
-@cite{GHDL} reads files, and writes a file in the current directory for
-every design unit.
-
-The filename of a design unit is build according to the unit. For an
-entity declaration, a package declaration or a configuration the file
-name is @code{NAME.vhdl}, where @cite{NAME} is the name of the design
-unit. For a package body, the filename is @code{NAME-body.vhdl}.
-Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the
-filename is @code{ENTITY-ARCH.vhdl}.
-
-Since the input files are parsed, this command aborts in case of syntax
-error. The command aborts too if a file to be written already exists.
-
-Comments between design units are stored into the most adequate files.
-
-This command may be useful to split big files, if your computer has not
-enough memory to compile such files. The size of the executable is
-reduced too.
-
-@node Lines command,,Chop command,File commands
-@anchor{Invoking_GHDL lines-command}@anchor{64}
-@subsection Lines command
-
-
-@geindex --lines command
-
-Display on the standard output lines of files preceded by line number:
-
-@example
-ghdl --lines files
-@end example
-
-@node Misc commands,VPI build commands,File commands,Invoking GHDL
-@anchor{Invoking_GHDL misc-commands}@anchor{65}
-@section Misc commands
-
-
-There are a few GHDL commands which are seldom useful.
-
-@menu
-* Help command::
-* Disp config command::
-* Disp standard command::
-* Version command::
-
-@end menu
-
-@node Help command,Disp config command,,Misc commands
-@anchor{Invoking_GHDL id14}@anchor{66}@anchor{Invoking_GHDL help-command}@anchor{67}
-@subsection Help command
-
-
-@geindex -h command
-
-@geindex --help command
-
-Display (on the standard output) a short description of the all the commands
-available. If the help switch is followed by a command switch, then options
-for this later command are displayed:
-
-@example
-ghdl --help
-ghdl -h
-ghdl -h command
-@end example
-
-@node Disp config command,Disp standard command,Help command,Misc commands
-@anchor{Invoking_GHDL disp-config-command}@anchor{68}@anchor{Invoking_GHDL id15}@anchor{69}
-@subsection Disp config command
-
-
-@geindex --disp-config command
-
-@geindex display configuration
-
-Display the program paths and options used by GHDL:
-
-@example
-ghdl --disp-config [options]
-@end example
-
-This may be useful to track installation errors.
-
-@node Disp standard command,Version command,Disp config command,Misc commands
-@anchor{Invoking_GHDL disp-standard-command}@anchor{6a}
-@subsection Disp standard command
-
-
-@geindex --disp-standard command
-
-@geindex display ;samp;`std.standard`
-
-Display the @code{std.standard} package:
-
-@example
-ghdl --disp-standard [options]
-@end example
-
-@node Version command,,Disp standard command,Misc commands
-@anchor{Invoking_GHDL version-command}@anchor{6b}
-@subsection Version command
-
-
-@geindex --version command
-
-@geindex version
-
-Display the @cite{GHDL} version and exit:
-
-@example
-ghdl --version
-@end example
-
-@node VPI build commands,Installation Directory,Misc commands,Invoking GHDL
-@anchor{Invoking_GHDL vpi-build-commands}@anchor{6c}
+@node VPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
+@anchor{using/InvokingGHDL vpi-build-commands}@anchor{7a}
@section VPI build commands
-These commands simplify the compile and the link of a user vpi
-module. They are all wrapper: the arguments are in fact a whole
-command line that is executed with additional switches. Currently a
-unix-like compiler (like @cite{cc}, @cite{gcc} or @cite{clang}) is expected: the additional
-switches use their syntax. The only option is @cite{-v} which displays the
+These commands simplify the compile and the link of a user vpi module. They are all wrapper: the arguments are in fact a whole command line that is executed with additional switches. Currently a unix-like compiler (like @cite{cc}, @cite{gcc} or @cite{clang}) is expected: the additional switches use their syntax. The only option is @cite{-v} which displays the
command before its execution.
+@geindex cmd VPI compile
+
@menu
-* VPI compile command::
-* VPI link command::
-* VPI cflags command::
-* VPI ldflags command::
-* VPI include dir command::
-* VPI library dir command::
+* compile [--vpi-compile]::
+* link [--vpi-link]::
+* cflags [--vpi-cflags]::
+* ldflags [--vpi-ldflags]::
+* include dir [--vpi-include-dir]::
+* library dir [--vpi-library-dir]::
@end menu
-@node VPI compile command,VPI link command,,VPI build commands
-@anchor{Invoking_GHDL vpi-compile-command}@anchor{6d}@anchor{Invoking_GHDL id16}@anchor{6e}
-@subsection VPI compile command
+@node compile [--vpi-compile],link [--vpi-link],,VPI build commands
+@anchor{using/InvokingGHDL compile-vpi-compile}@anchor{7b}
+@subsection compile [@code{--vpi-compile}]
-@geindex --vpi-compile command
+@geindex ghdl command line option; --vpi-compile <command>
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{7c}
+@deffn {Option} @w{-}@w{-}vpi@w{-}compile <command>
+@end deffn
Add include path to the command and execute it:
@@ -1954,13 +2116,18 @@ executes:
@example
gcc -c vpi1.c -fPIC -Ixxx/include
@end example
+@anchor{using/InvokingGHDL vpi-link-command}@anchor{7d}
+@geindex cmd VPI link
-@node VPI link command,VPI cflags command,VPI compile command,VPI build commands
-@anchor{Invoking_GHDL vpi-link-command}@anchor{6f}@anchor{Invoking_GHDL id17}@anchor{70}
-@subsection VPI link command
+@node link [--vpi-link],cflags [--vpi-cflags],compile [--vpi-compile],VPI build commands
+@anchor{using/InvokingGHDL link-vpi-link}@anchor{7e}
+@subsection link [@code{--vpi-link}]
-@geindex --vpi-link command
+@geindex ghdl command line option; --vpi-link <command>
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{7f}
+@deffn {Option} @w{-}@w{-}vpi@w{-}link <command>
+@end deffn
Add library path and name to the command and execute it:
@@ -1985,103 +2152,69 @@ executes:
@example
gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi
@end example
+@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{80}
+@geindex cmd VPI cflags
-@node VPI cflags command,VPI ldflags command,VPI link command,VPI build commands
-@anchor{Invoking_GHDL id18}@anchor{71}@anchor{Invoking_GHDL vpi-cflags-command}@anchor{72}
-@subsection VPI cflags command
-
-
-@geindex --vpi-cflags command
-
-Display flags added by @code{--vpi-compile}:
-
-@example
-ghdl --vpi-cflags
-@end example
-
-@node VPI ldflags command,VPI include dir command,VPI cflags command,VPI build commands
-@anchor{Invoking_GHDL id19}@anchor{73}@anchor{Invoking_GHDL vpi-ldflags-command}@anchor{74}
-@subsection VPI ldflags command
-
-
-@geindex --vpi-ldflags command
-
-Display flags added by @code{--vpi-link}:
+@node cflags [--vpi-cflags],ldflags [--vpi-ldflags],link [--vpi-link],VPI build commands
+@anchor{using/InvokingGHDL cflags-vpi-cflags}@anchor{81}
+@subsection cflags [@code{--vpi-cflags}]
-@example
-ghdl --vpi-ldflags
-@end example
-@node VPI include dir command,VPI library dir command,VPI ldflags command,VPI build commands
-@anchor{Invoking_GHDL vpi-include-dir-command}@anchor{75}@anchor{Invoking_GHDL id20}@anchor{76}
-@subsection VPI include dir command
+@geindex ghdl command line option; --vpi-cflags
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{82}
+@deffn {Option} @w{-}@w{-}vpi@w{-}cflags
+@end deffn
+Display flags added by @ref{7c,,--vpi-compile}.
-@geindex --vpi-include-dir command
+@geindex cmd VPI ldflags
-Display the include directory added by the compile flags:
+@node ldflags [--vpi-ldflags],include dir [--vpi-include-dir],cflags [--vpi-cflags],VPI build commands
+@anchor{using/InvokingGHDL ldflags-vpi-ldflags}@anchor{83}
+@subsection ldflags [@code{--vpi-ldflags}]
-@example
-ghdl --vpi-include-dir
-@end example
-@node VPI library dir command,,VPI include dir command,VPI build commands
-@anchor{Invoking_GHDL vpi-library-dir-command}@anchor{77}@anchor{Invoking_GHDL id21}@anchor{78}
-@subsection VPI library dir command
+@geindex ghdl command line option; --vpi-ldflags
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{84}
+@deffn {Option} @w{-}@w{-}vpi@w{-}ldflags
+@end deffn
+Display flags added by @ref{7f,,--vpi-link}.
-@geindex --vpi-library-dir command
+@geindex cmd VPI include dir
-Display the library directory added by the link flags:
+@node include dir [--vpi-include-dir],library dir [--vpi-library-dir],ldflags [--vpi-ldflags],VPI build commands
+@anchor{using/InvokingGHDL include-dir-vpi-include-dir}@anchor{85}
+@subsection include dir [@code{--vpi-include-dir}]
-@example
-ghdl --vpi-library-dir
-@end example
-
-@node Installation Directory,IEEE library pitfalls,VPI build commands,Invoking GHDL
-@anchor{Invoking_GHDL installation-directory}@anchor{79}
-@section Installation Directory
+@geindex ghdl command line option; --vpi-include-dir
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{86}
+@deffn {Option} @w{-}@w{-}vpi@w{-}include@w{-}dir
+@end deffn
-During analysis and elaboration @cite{GHDL} may read the @cite{std}
-and @cite{ieee} files. The location of these files is based on the prefix,
-which is (in priority order):
+Display the include directory added by the compile flags.
+@geindex cmd VPI library dir
-@itemize *
+@node library dir [--vpi-library-dir],,include dir [--vpi-include-dir],VPI build commands
+@anchor{using/InvokingGHDL library-dir-vpi-library-dir}@anchor{87}
+@subsection library dir [@code{--vpi-library-dir}]
-@item
-the @code{--PREFIX=} command line option
-@item
-the
-@geindex GHDL_PREFIX
-@geindex environment variable; GHDL_PREFIX
-@code{GHDL_PREFIX} environment variable
-
-@item
-a built-in default path. It is a hard-coded path on GNU/Linux and the
-value of the @code{HKLMSoftwareGhdlInstall_Dir} registry entry on Windows.
-@end itemize
+@geindex ghdl command line option; --vpi-library-dir
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{88}
+@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir
+@end deffn
-You should use the @code{--disp-config} command (@ref{68,,Disp config command} for details) to disp and debug installation problems.
+Display the library directory added by the link flags.
-@node IEEE library pitfalls,IEEE math packages,Installation Directory,Invoking GHDL
-@anchor{Invoking_GHDL ieee-library-pitfalls}@anchor{e}@anchor{Invoking_GHDL id22}@anchor{7a}
+@node IEEE library pitfalls,,VPI build commands,Invoking GHDL
+@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{14}@anchor{using/InvokingGHDL id1}@anchor{89}
@section IEEE library pitfalls
-When you use options @code{--ieee=synopsys} or @code{--ieee=mentor},
-the @cite{IEEE} library contains non standard packages such as
-@code{std_logic_arith}.
-
-These packages are not standard because there are not described by an IEEE
-standard, even if they have been put in the @cite{IEEE} library. Furthermore,
-they are not really de-facto standard, because there are slight differences
-between the packages of Mentor and those of Synopsys.
-
-Furthermore, since they are not well-thought, their use has pitfalls. For
-example, this description has error during compilation:
+When you use options @code{--ieee=synopsys} or @code{--ieee=mentor}, the @code{ieee} library contains non standard packages such as @code{std_logic_arith}. These packages are not standard because there are not described by an IEEE standard, even if they have been put in the @cite{IEEE} library. Furthermore, they are not really de-facto standard, because there are slight differences between the packages of Mentor and those of Synopsys. Furthermore, since they are not well-thought, their use has pitfalls. For example, this description has error during compilation:
@example
library ieee;
@@ -2132,21 +2265,12 @@ bad_counter.vhdl:13:14: possible interpretations are:
../translate/ghdldrv/ghdl: compilation error
@end example
-Indeed, the @cite{"="} operator is defined in both packages, and both
-are visible at the place it is used. The first declaration is an
-implicit one, which occurs when the @cite{std_logic_vector} type is
-declared and is an element to element comparison, the second one is an
-explicit declared function, with the semantic of an unsigned comparison.
+Indeed, the @cite{“=”} operator is defined in both packages, and both are visible at the place it is used. The first declaration is an implicit one, which occurs when the @cite{std_logic_vector} type is declared and is an element to element comparison, the second one is an explicit declared function, with the semantic of an unsigned comparison.
-With some analyser, the explicit declaration has priority over the implicit
-declaration, and this design can be analyzed without error. However, this
-is not the rule given by the VHDL LRM, and since GHDL follows these rules,
+With some analyser, the explicit declaration has priority over the implicit declaration, and this design can be analyzed without error. However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules,
it emits an error.
-You can force GHDL to use this rule with the @emph{-fexplicit} option.
-@ref{13,,GHDL options}, for more details.
-
-However it is easy to fix this error, by using a selected name:
+You can force GHDL to use this rule with the @emph{-fexplicit} option (see GHDL_options for further details). However it is easy to fix this error, by using a selected name:
@example
library ieee;
@@ -2173,8 +2297,7 @@ begin
end fixed_bad;
@end example
-It is better to only use the standard packages defined by IEEE, which
-provides the same functionalities:
+It is better to only use the standard packages defined by IEEE, which provides the same functionalities:
@example
library ieee;
@@ -2201,32 +2324,42 @@ begin
end good;
@end example
-@node IEEE math packages,,IEEE library pitfalls,Invoking GHDL
-@anchor{Invoking_GHDL ieee-math-packages}@anchor{7b}
-@section IEEE math packages
-
-
@geindex Math_Real
@geindex Math_Complex
-The @code{ieee} math packages (@code{math_real} and
-@code{math_complex}) provided with @cite{GHDL} are fully compliant with
-the @cite{IEEE} standard.
+@cartouche
+@quotation Hint
+The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provided with @cite{GHDL} are fully compliant with the @cite{IEEE} standard.
+@end quotation
+@end cartouche
+
+@c # preload commonly known graphical characters like (c)
-@node Simulation and runtime,GHDL implementation of VHDL,Invoking GHDL,Top
-@anchor{Simulation_and_runtime simulation-and-runtime}@anchor{19}@anchor{Simulation_and_runtime doc}@anchor{7c}@anchor{Simulation_and_runtime id1}@anchor{7d}
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Simulation and runtime,Releases and sources,Invoking GHDL,Top
+@anchor{using/Simulation using-simulation}@anchor{3a}@anchor{using/Simulation simulation-and-runtime}@anchor{8a}@anchor{using/Simulation doc}@anchor{8b}
@chapter Simulation and runtime
@menu
* Simulation options::
-* Debugging VHDL programs::
+* Export waveforms::
+* Export hierarchy and references::
+* Debugging::
@end menu
-@node Simulation options,Debugging VHDL programs,,Simulation and runtime
-@anchor{Simulation_and_runtime simulation-options}@anchor{b}@anchor{Simulation_and_runtime id2}@anchor{7e}
+@node Simulation options,Export waveforms,,Simulation and runtime
+@anchor{using/Simulation simulation-options}@anchor{2e}@anchor{using/Simulation id1}@anchor{8c}
@section Simulation options
@@ -2246,12 +2379,12 @@ simulation completes, or @code{EXIT_FAILURE} (1) in case of error
(assertion failure, overflow or any constraint error).
Here is the list of the most useful options. Some debugging options are
-also available, but not described here. The @ref{7f,,--help} options lists
+also available, but not described here. The @ref{8d,,--help} options lists
all options available, including the debugging one.
-@geindex command line option; --assert-level=<LEVEL>
-@anchor{Simulation_and_runtime cmdoption--assert-level}@anchor{80}
-@deffn {Option} @w{-}@w{-}assert@w{-}level=<LEVEL>
+@geindex ghdl command line option; --assert-level<=LEVEL>
+@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{8e}
+@deffn {Option} @w{-}@w{-}assert@w{-}level<=LEVEL>
Select the assertion level at which an assertion violation stops the
simulation. @cite{LEVEL} is the name from the @cite{severity_level}
@@ -2270,9 +2403,9 @@ Option @code{--assert-level=none} prevents any assertion violation to stop
simulation.
@end deffn
-@geindex command line option; --ieee-asserts=<POLICY>
-@anchor{Simulation_and_runtime cmdoption--ieee-asserts}@anchor{81}
-@deffn {Option} @w{-}@w{-}ieee@w{-}asserts=<POLICY>
+@geindex ghdl command line option; --ieee-asserts<=POLICY>
+@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{8f}
+@deffn {Option} @w{-}@w{-}ieee@w{-}asserts<=POLICY>
Select how the assertions from @code{ieee} units are
handled. @cite{POLICY} can be @code{enable} (the default),
@@ -2283,9 +2416,9 @@ This option can be useful to avoid assertion message from
@code{ieee.numeric_std} (and other @code{ieee} packages).
@end deffn
-@geindex command line option; --stop-time=<TIME>
-@anchor{Simulation_and_runtime cmdoption--stop-time}@anchor{82}
-@deffn {Option} @w{-}@w{-}stop@w{-}time=<TIME>
+@geindex ghdl command line option; --stop-time<=TIME>
+@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{90}
+@deffn {Option} @w{-}@w{-}stop@w{-}time<=TIME>
Stop the simulation after @code{TIME}. @code{TIME} is expressed as a time
value, @emph{without} any space. The time is the simulation time, not
@@ -2299,82 +2432,91 @@ $ ./my_design --stop-time=ps
@end example
@end deffn
-@geindex command line option; --stop-delta=<N>
-@anchor{Simulation_and_runtime cmdoption--stop-delta}@anchor{83}
-@deffn {Option} @w{-}@w{-}stop@w{-}delta=<N>
+@geindex ghdl command line option; --stop-delta<=N>
+@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{91}
+@deffn {Option} @w{-}@w{-}stop@w{-}delta<=N>
Stop the simulation after @cite{N} delta cycles in the same current time.
@geindex display time
@end deffn
-@geindex command line option; --disp-time
-@anchor{Simulation_and_runtime cmdoption--disp-time}@anchor{84}
+@geindex ghdl command line option; --disp-time
+@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{92}
@deffn {Option} @w{-}@w{-}disp@w{-}time
Display the time and delta cycle number as simulation advances.
@end deffn
-@geindex command line option; --disp-tree[=<KIND>]
-@anchor{Simulation_and_runtime cmdoption--disp-tree}@anchor{85}
-@deffn {Option} @w{-}@w{-}disp@w{-}tree[=<KIND>]
+@geindex ghdl command line option; --unbuffered
+@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{93}
+@deffn {Option} @w{-}@w{-}unbuffered
-@geindex display design hierarchy
+Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
+@end deffn
-Display the design hierarchy as a tree of instantiated design entities.
-This may be useful to understand the structure of a complex
-design. @cite{KIND} is optional, but if set must be one of:
+@geindex ghdl command line option; --sdf<=PATH=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{94}
+@deffn {Option} @w{-}@w{-}sdf<=PATH=FILENAME>
+Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
-@itemize *
+@cite{PATH} is a path of instances, separated with @code{.} or @code{/}.
+Any separator can be used. Instances are component instantiation labels,
+generate labels or block labels. Currently, you cannot use an indexed name.
-@item
-none
-Do not display hierarchy. Same as if the option was not present.
+Specifying a delay:
-@item
-inst
-Display entities, architectures, instances, blocks and generates statements.
+@example
+--sdf=min=PATH=FILENAME
+--sdf=typ=PATH=FILENAME
+--sdf=max=PATH=FILENAME
+@end example
-@item
-proc
-Like @code{inst} but also display processes.
+If the option contains a type of delay, that is @code{min=},
+@code{typ=} or @code{max=}, the annotator use respectively minimum,
+typical or maximum values. If the option does not contain a type of delay,
+the annotator use the typical delay.
-@item
-port
-Like @code{proc} but display ports and signals too.
-If @cite{KIND} is not specified, the hierarchy is displayed with the
-@code{port} mode.
-@end itemize
+See section ‘@ref{95,,Backannotation}’, for more details.
@end deffn
-@geindex command line option; --no-run
-@anchor{Simulation_and_runtime cmdoption--no-run}@anchor{86}
-@deffn {Option} @w{-}@w{-}no@w{-}run
+@geindex ghdl command line option; --vpi<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{96}
+@deffn {Option} @w{-}@w{-}vpi<=FILENAME>
+@end deffn
-Do not simulate, only elaborate. This may be used with
-@ref{85,,--disp-tree} to display the tree without simulating the whole
-design.
+Load VPI module.
+
+@geindex ghdl command line option; --vpi-trace<=FILE>
+@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{97}
+@deffn {Option} @w{-}@w{-}vpi@w{-}trace<=FILE>
@end deffn
-@geindex command line option; --unbuffered
-@anchor{Simulation_and_runtime cmdoption--unbuffered}@anchor{87}
-@deffn {Option} @w{-}@w{-}unbuffered
+Trace vpi calls to FILE.
-Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
+@geindex ghdl command line option; --help
+@anchor{using/Simulation cmdoption-ghdl-help}@anchor{8d}
+@deffn {Option} @w{-}@w{-}help
+
+Display a short description of the options accepted by the runtime library.
@end deffn
-@geindex command line option; --read-opt-file=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--read-opt-file}@anchor{88}
-@deffn {Option} @w{-}@w{-}read@w{-}opt@w{-}file=<FILENAME>
+@node Export waveforms,Export hierarchy and references,Simulation options,Simulation and runtime
+@anchor{using/Simulation export-waveforms}@anchor{98}@anchor{using/Simulation export-waves}@anchor{2d}
+@section Export waveforms
+
+
+@geindex ghdl command line option; --read-wave-opt=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{99}
+@deffn {Option} @w{-}@w{-}read@w{-}wave@w{-}opt=<FILENAME>
Filter signals to be dumped to the wave file according to the wave option
file provided.
-Here is a description of the wave option file format currently supported :
-
-@quotation
+Here is a description of the wave option file format currently supported
+@example
$ version = 1.1 # Optional
# Path format for signals in packages :
@@ -2384,42 +2526,42 @@ my_pkg.global_signal_a
/top/sub/clk
# Dumps every signals named reset in first level sub entities of top
-/top/>>*<</reset
+/top/*/reset
# Dumps every signals named reset in recursive sub entities of top
-/top/>>**<</reset
+/top/**/reset
# Dump every signals of sub2 which could be anywhere in design except on
# top level
-/>>**<</sub2/*
+/**/sub2/*
# Dump every signals of sub3 which must be a first level sub entity of the
# top level
-/@emph{/sub3/}
+/*/sub3/*
# Dump every signals of the first level sub entities of sub3 (but not
# those of sub3)
-/>>**<</sub3/@emph{/}
-@end quotation
+/**/sub3/*/*
+@end example
@end deffn
-@geindex command line option; --write-opt-file=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--write-opt-file}@anchor{89}
-@deffn {Option} @w{-}@w{-}write@w{-}opt@w{-}file=<FILENAME>
+@geindex ghdl command line option; --write-wave-opt=<FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{9a}
+@deffn {Option} @w{-}@w{-}write@w{-}wave@w{-}opt=<FILENAME>
-If the wave option file doesn't exist, creates it with all the signals of
-the design. Otherwise throws an error, because it won't erase an existing
+If the wave option file doesn’t exist, creates it with all the signals of
+the design. Otherwise throws an error, because it won’t erase an existing
file.
@end deffn
-@geindex command line option; --vcd=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--vcd}@anchor{c}
-@deffn {Option} @w{-}@w{-}vcd=<FILENAME>
+@geindex ghdl command line option; --vcd<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{9b}
+@deffn {Option} @w{-}@w{-}vcd<=FILENAME>
@end deffn
-@geindex command line option; --vcdgz=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--vcdgz}@anchor{8a}
-@deffn {Option} @w{-}@w{-}vcdgz=<FILENAME>
+@geindex ghdl command line option; --vcdgz<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{9c}
+@deffn {Option} @w{-}@w{-}vcdgz<=FILENAME>
@geindex vcd
@@ -2427,14 +2569,14 @@ file.
@geindex dump of signals
-Option @ref{c,,--vcd} dumps into the VCD file @cite{FILENAME} the signal
+Option @code{--vcd} dumps into the VCD file @cite{FILENAME} the signal
values before each non-delta cycle. If @cite{FILENAME} is @code{-},
then the standard output is used, otherwise a file is created or
overwritten.
-The @ref{8a,,--vcdgz} option is the same as the @emph{--vcd} option,
+The @code{--vcdgz} option is the same as the @emph{–vcd} option,
but the output is compressed using the @cite{zlib} (@cite{gzip}
-compression). However, you can't use the @code{-} filename.
+compression). However, you can’t use the @code{-} filename.
Furthermore, only one VCD file can be written.
@emph{VCD} (value change dump) is a file format defined
@@ -2481,21 +2623,28 @@ dumped, which can generate big files.
It is very unfortunate there is no standard or well-known wave file
format supporting VHDL types. If you are aware of such a free format,
-please mail me (@ref{8b,,Reporting bugs}).
+please mail me (@ref{12,,Reporting bugs}).
@end deffn
-@geindex command line option; --fst=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--fst}@anchor{8c}
-@deffn {Option} @w{-}@w{-}fst=<FILENAME>
+@geindex ghdl command line option; --vcd-nodate
+@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{9d}
+@deffn {Option} @w{-}@w{-}vcd@w{-}nodate
+
+Do not write date in VCD file
+@end deffn
+
+@geindex ghdl command line option; --fst<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{9e}
+@deffn {Option} @w{-}@w{-}fst<=FILENAME>
Write the waveforms into a @cite{fst}, that can be displayed by
@cite{gtkwave}. The @cite{fst} files are much smaller than VCD or
@cite{GHW} files, but it handles only the same signals as the VCD format.
@end deffn
-@geindex command line option; --wave=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--wave}@anchor{8d}
-@deffn {Option} @w{-}@w{-}wave=<FILENAME>
+@geindex ghdl command line option; --wave<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{9f}
+@deffn {Option} @w{-}@w{-}wave<=FILENAME>
Write the waveforms into a @cite{ghw} (GHdl Waveform) file. Currently, all
the signals are dumped into the waveform file, you cannot select a hierarchy
@@ -2507,81 +2656,2552 @@ It may change slightly. The @code{gtkwave} tool can read the GHW files.
Contrary to VCD files, any VHDL type can be dumped into a GHW file.
@end deffn
-@geindex command line option; --psl-report=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--psl-report}@anchor{8e}
-@deffn {Option} @w{-}@w{-}psl@w{-}report=<FILENAME>
+@node Export hierarchy and references,Debugging,Export waveforms,Simulation and runtime
+@anchor{using/Simulation export-hierarchy-and-references}@anchor{a0}
+@section Export hierarchy and references
+
+
+@geindex ghdl command line option; --disp-tree<[=KIND]>
+@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{a1}
+@deffn {Option} @w{-}@w{-}disp@w{-}tree<[=KIND]>
+
+@geindex display design hierarchy
+
+Display the design hierarchy as a tree of instantiated design entities.
+This may be useful to understand the structure of a complex
+design. @cite{KIND} is optional, but if set must be one of:
+
+
+@itemize *
+
+@item
+none
+Do not display hierarchy. Same as if the option was not present.
+
+@item
+inst
+Display entities, architectures, instances, blocks and generates statements.
+
+@item
+proc
+Like @code{inst} but also display processes.
+
+@item
+port
+Like @code{proc} but display ports and signals too.
+If @cite{KIND} is not specified, the hierarchy is displayed with the
+@code{port} mode.
+@end itemize
+@end deffn
+
+@geindex ghdl command line option; --no-run
+@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{a2}
+@deffn {Option} @w{-}@w{-}no@w{-}run
-Write a report for PSL assertions and coverage at the end of
-simulation. The file is written using the JSON format, but still
-being human readable.
+Stop the simulation before the first cycle. This may be used with @code{--disp-tree} to display the tree without simulating the whole design. This option actually elaborates the design, so it will catch any bound error in port maps.
@end deffn
-@geindex command line option; --sdf=<PATH>=<FILENAME>
-@anchor{Simulation_and_runtime cmdoption--sdf}@anchor{8f}
-@deffn {Option} @w{-}@w{-}sdf=<PATH>=<FILENAME>
+@geindex ghdl command line option; --xref-html <[options] file...>
+@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{a3}
+@deffn {Option} @w{-}@w{-}xref@w{-}html <[options] file...>
+@end deffn
-Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
+To easily navigate through your sources, you may generate cross-references. This command generates an html file for each @code{file} given in the command line, with syntax highlighting and full cross-reference: every identifier is a link to its declaration. Besides, an index of the files is created too.
-@cite{PATH} is a path of instances, separated with @code{.} or @code{/}.
-Any separator can be used. Instances are component instantiation labels,
-generate labels or block labels. Currently, you cannot use an indexed name.
+The set of @code{file} are analyzed, and then, if the analysis is successful, html files are generated in the directory specified by the @code{-o} option, or @code{html/} directory by default.
-Specifying a delay:
-@example
---sdf=min=<PATH>=<FILENAME>
---sdf=typ=<PATH>=<FILENAME>
---sdf=max=<PATH>=<FILENAME>
-@end example
+@itemize *
-If the option contains a type of delay, that is @code{min=},
-@code{typ=} or @code{max=}, the annotator use respectively minimum,
-typical or maximum values. If the option does not contain a type of delay,
-the annotator use the typical delay.
+@item
+If the option @code{--format=html2} is specified, then the generated html files follow the HTML 2.0 standard, and colours are specified with @cite{<FONT>} tags. However, colours are hard-coded.
-See @ref{90,,Backannotation}, for more details.
+@item
+If the option @code{--format=css} is specified, then the generated html files follow the HTML 4.0 standard, and use the CSS-1 file @code{ghdl.css} to specify colours. This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user to change colours or appearance. Refer to a generated file and its comments for more information.
+@end itemize
+
+@geindex ghdl command line option; --psl-report<=FILENAME>
+@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{a4}
+@deffn {Option} @w{-}@w{-}psl@w{-}report<=FILENAME>
@end deffn
-@geindex command line option; --help
-@anchor{Simulation_and_runtime cmdoption--help}@anchor{7f}
-@deffn {Option} @w{-}@w{-}help
+Write a report for PSL at the end of simulation. For each PSL cover and assert statements, the name, source location and whether it passed or failed is reported. The file is written using the JSON format, but still being human readable.
-Display a short description of the options accepted by the runtime library.
+@geindex ghdl command line option; --file-to-xml
+@anchor{using/Simulation cmdoption-ghdl-file-to-xml}@anchor{a5}
+@deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
@end deffn
-@node Debugging VHDL programs,,Simulation options,Simulation and runtime
-@anchor{Simulation_and_runtime debugging-vhdl-programs}@anchor{91}
-@section Debugging VHDL programs
+Outputs an XML representation of the decorated syntax tree for the input file and its dependencies. It can be used for VHDL tooling using semantic information, like style checkers, documentation extraction, complexity estimation…
+
+@cartouche
+@quotation Warning
+
+@itemize *
+
+@item
+The AST slightly changes from time to time (particularly when new nodes are added for new language features), so be liberal in what is allowed by your tool. Also, the XML can be quite large so consider it only during prototyping.
+@item
+Note that at this time there is no XML dump of the elaborated design.
+@end itemize
+@end quotation
+@end cartouche
@geindex debugging
+@node Debugging,,Export hierarchy and references,Simulation and runtime
+@anchor{using/Simulation debugging}@anchor{a6}
+@section Debugging
+
+
+@geindex ghdl command line option; --trace-signals
+@anchor{using/Simulation cmdoption-ghdl-trace-signals}@anchor{a7}
+@deffn {Option} @w{-}@w{-}trace@w{-}signals
+@end deffn
+
+Display signals after each cycle.
+
+@geindex ghdl command line option; --trace-processes
+@anchor{using/Simulation cmdoption-ghdl-trace-processes}@anchor{a8}
+@deffn {Option} @w{-}@w{-}trace@w{-}processes
+@end deffn
+
+Display process name before each cycle.
+
+@geindex ghdl command line option; --stats
+@anchor{using/Simulation cmdoption-ghdl-stats}@anchor{a9}
+@deffn {Option} @w{-}@w{-}stats
+@end deffn
+
+Display run-time statistics.
+
+@geindex ghdl command line option; --disp-order
+@anchor{using/Simulation cmdoption-ghdl-disp-order}@anchor{aa}
+@deffn {Option} @w{-}@w{-}disp@w{-}order
+@end deffn
+
+Display signals order.
+
+@geindex ghdl command line option; --disp-sources
+@anchor{using/Simulation cmdoption-ghdl-disp-sources}@anchor{ab}
+@deffn {Option} @w{-}@w{-}disp@w{-}sources
+@end deffn
+
+Display sources while displaying signals.
+
+@geindex ghdl command line option; --disp-sig-types
+@anchor{using/Simulation cmdoption-ghdl-disp-sig-types}@anchor{ac}
+@deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
+@end deffn
+
+Display signal types.
+
+@geindex ghdl command line option; --disp-signals-map
+@anchor{using/Simulation cmdoption-ghdl-disp-signals-map}@anchor{ad}
+@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
+@end deffn
+
+Display map bw declared signals and internal signals.
+
+@geindex ghdl command line option; --disp-signals-table
+@anchor{using/Simulation cmdoption-ghdl-disp-signals-table}@anchor{ae}
+@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
+@end deffn
+
+Display internal signals.
+
+@geindex ghdl command line option; --checks
+@anchor{using/Simulation cmdoption-ghdl-checks}@anchor{af}
+@deffn {Option} @w{-}@w{-}checks
+@end deffn
+
+Do internal checks after each process run.
+
+@geindex ghdl command line option; --activity<=LEVEL>
+@anchor{using/Simulation cmdoption-ghdl-activity}@anchor{b0}
+@deffn {Option} @w{-}@w{-}activity<=LEVEL>
+@end deffn
+
+Watch activity of LEVEL signals: LEVEL is all, min (default) or none (unsafe).
+
+@geindex ghdl command line option; --dump-rti
+@anchor{using/Simulation cmdoption-ghdl-dump-rti}@anchor{b1}
+@deffn {Option} @w{-}@w{-}dump@w{-}rti
+@end deffn
+
+Dump Run Time Information (RTI).
+
+@geindex ghdl command line option; --bootstrap
+@anchor{using/Simulation cmdoption-ghdl-bootstrap}@anchor{b2}
+@deffn {Option} @w{-}@w{-}bootstrap
+@end deffn
+
+Allow @code{--work=std}
+
+@menu
+* GNU Debugger (GDB): GNU Debugger GDB.
+
+@end menu
+
+@node GNU Debugger GDB,,,Debugging
+@anchor{using/Simulation gnu-debugger-gdb}@anchor{b3}
+@subsection GNU Debugger (GDB)
+
+
@geindex `__ghdl_fatal`
-Debugging VHDL programs using @cite{GDB} is possible only on GNU/Linux systems.
+@cartouche
+@quotation Warning
+Debugging VHDL programs using @cite{GDB} is possible only with GCC/LLVM.
+@end quotation
+@end cartouche
+
+GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no VHDL support for GDB. It may be difficult to inspect variables or signals in GDB. However, it is still able to display the stack frame in case of error or to set a breakpoint at a specified line.
+
+GDB can be useful to precisely catch a runtime error, such as indexing an array beyond its bounds. All error check subprograms call the @code{__ghdl_fatal} procedure. Therefore, to catch runtime error, set a breakpoint like this:
+
+@example
+(gdb) break __ghdl_fatal
+@end example
+
+When the breakpoint is hit, use the @code{where} or @code{bt} command to display the stack frames.
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Releases and sources,Building GHDL from Sources,Simulation and runtime,Top
+@anchor{getting/Releases release}@anchor{b4}@anchor{getting/Releases releases-and-sources}@anchor{b5}@anchor{getting/Releases doc}@anchor{b6}
+@chapter Releases and sources
+
+
+@menu
+* Downloading pre-built packages::
+* Downloading Source Files::
+
+@end menu
+
+@node Downloading pre-built packages,Downloading Source Files,,Releases and sources
+@anchor{getting/Releases release-packages}@anchor{b7}@anchor{getting/Releases downloading-pre-built-packages}@anchor{b8}
+@section Downloading pre-built packages
+
+
+
+@multitable {xxxxxxxxxxxxxxxx} {xxxxxxxxxxxx} {xxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+OS
+
+@tab
+
+Backend
+
+@tab
+
+Filesize
+
+@tab
+
+Downloads
+
+@item
+
+Ubuntu 12.04
+
+@tab
+
+LLVM 3.8
+
+@tab
+
+13.8 MiB
+
+@tab
+
+
+
+@item
+
+Ubuntu 14.04
+
+@tab
+
+LLVM 3.5
+
+@tab
+
+11.9 MiB
+
+@tab
+
+
+
+@item
+
+Fedora
+
+@tab
+
+LLVM
+
+@tab
+
+6.58 MiB
+
+@tab
+
+
+
+@item
+
+Fedora
+
+@tab
+
+mcode
+
+@tab
+
+2.75 MiB
+
+@tab
+
+
+
+@item
+
+Windows x86
+
+@tab
+
+mcode
+
+@tab
+
+5.25 MiB
+
+@tab
+
+
+
+@end multitable
+
+
+@node Downloading Source Files,,Downloading pre-built packages,Releases and sources
+@anchor{getting/Releases downloading-source-files}@anchor{b9}@anchor{getting/Releases release-sources}@anchor{ba}
+@section Downloading Source Files
+
+
+@menu
+* Downloading from GitHub::
+* Downloading via git clone::
+
+@end menu
+
+@node Downloading from GitHub,Downloading via git clone,,Downloading Source Files
+@anchor{getting/Releases downloading-from-github}@anchor{bb}@anchor{getting/Releases release-sources-zip}@anchor{bc}
+@subsection Downloading from GitHub
+
+
+GHDL can be downloaded as a zip-file from GitHub. See the following table, to
+choose your desired git branch.
+
+
+@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+Branch
+
+@tab
+
+Download Link
+
+@item
+
+master
+
+@tab
+
+zip-file
+@image{_static/icons/ZIP,,,Source Code from GitHub - 'master' branch.,png}
+
+
+@item
+
+release
+
+@tab
+
+zip-file
+@image{_static/icons/ZIP,,,Source Code from GitHub - 'release' branch.,png}
+
+
+@end multitable
+
+
+@node Downloading via git clone,,Downloading from GitHub,Downloading Source Files
+@anchor{getting/Releases release-sources-gitclone}@anchor{bd}@anchor{getting/Releases downloading-via-git-clone}@anchor{be}
+@subsection Downloading via @code{git clone}
+
+
+GHDL can be downloaded (cloned) with @code{git clone} from GitHub. GitHub offers
+the transfer protocols HTTPS and SSH. You should use SSH if you have a GitHub
+account and have already uploaded an OpenSSH public key to GitHub, otherwise
+use HTTPS if you have no account or you want to use login credentials.
+
+The created folder @code{<GitRoot>\ghdl} is used as @code{<GHDLRoot>} in
+later instructions or on other pages in this documentation.
+
+
+@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+Protocol
+
+@tab
+
+GitHub Repository URL
+
+@item
+
+HTTPS
+
+@tab
+
+@indicateurl{https://github.com/tgingold/ghdl.git}
+
+@item
+
+SSH
+
+@tab
+
+@indicateurl{ssh://git@@github.com:tgingold/ghdl.git}
+
+@end multitable
+
+
+@menu
+* On Linux::
+* On OS X::
+* On Windows::
+
+@end menu
+
+@node On Linux,On OS X,,Downloading via git clone
+@anchor{getting/Releases on-linux}@anchor{bf}
+@subsubsection On Linux
+
+
+Command line instructions to clone GHDL onto a Linux machine with HTTPS
+protocol:
+
+@example
+cd GitRoot
+git clone "https://github.com/tgingold/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+Command line instructions to clone GHDL onto a Linux machine machine with SSH
+protocol:
+
+@example
+cd GitRoot
+git clone "ssh://git@@github.com:tgingold/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+@node On OS X,On Windows,On Linux,Downloading via git clone
+@anchor{getting/Releases on-os-x}@anchor{c0}
+@subsubsection On OS X
+
+
+Please see the Linux instructions.
+
+@node On Windows,,On OS X,Downloading via git clone
+@anchor{getting/Releases on-windows}@anchor{c1}
+@subsubsection On Windows
+
+
+@cartouche
+@quotation Note
+All Windows command line instructions are intended for @code{Windows PowerShell},
+if not marked otherwise. So executing the following instructions in Windows
+Command Prompt (@code{cmd.exe}) won’t function or result in errors! See
+the Requirements section on where to
+download or update PowerShell.
+@end quotation
+@end cartouche
+
+Command line instructions to clone GHDL onto a Windows machine with HTTPS
+protocol:
+
+@example
+cd GitRoot
+git clone "https://github.com/tgingold/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+Command line instructions to clone GHDL onto a Windows machine with SSH
+protocol:
+
+@example
+cd GitRoot
+git clone "ssh://git@@github.com:tgingold/ghdl.git" ghdl
+cd ghdl
+git remote rename origin github
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Building GHDL from Sources,Precompile Vendor Primitives,Releases and sources,Top
+@anchor{building/Building doc}@anchor{c2}@anchor{building/Building build}@anchor{c3}@anchor{building/Building building-ghdl-from-sources}@anchor{c4}
+@chapter Building GHDL from Sources
+
+
+@subheading Download
+
+
+GHDL can be downloaded as a zip-file@footnote{https://github.com/tgingold/ghdl/archive/master.zip}
+(latest ‘master’ branch) or cloned with @code{git clone} from GitHub. GitHub
+offers HTTPS and SSH as transfer protocols. See the @ref{ba,,Downloading Source Files}
+page for further details. The installation directory is referred to as @code{GHDLRoot}.
+
+@subheading Available back-ends
+
+
+GHDL currently supports three different back-ends (code generators):
+
+
+@itemize *
+
+@item
+mcode - built-in x86(or x86_64) code generator,
+
+@item
+GCC - Gnu Compiler Collection@footnote{http://gcc.gnu.org/}, and
+
+@item
+LLVM - Low-Level Virtual Machine@footnote{http://llvm.org/} .
+@end itemize
+
+Here is a short comparison, so that you can choose the one you want to use:
+
+
+@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
+@headitem
+
+Back-end
+
+@tab
+
+Pros
+
+@tab
+
+Cons
-@cite{GDB} is a general purpose debugger for programs compiled by @cite{GCC}.
-Currently, there is no VHDL support for @cite{GDB}. It may be difficult
-to inspect variables or signals in @cite{GDB}, however, @cite{GDB} is
-still able to display the stack frame in case of error or to set a breakpoint
-at a specified line.
+@item
-@cite{GDB} can be useful to precisely catch a runtime error, such as indexing
-an array beyond its bounds. All error check subprograms call the
-@cite{__ghdl_fatal} procedure. Therefore, to catch runtime error, set
-a breakpoint like this:
+@ref{c5,,mcode}
+
+@tab
+
+
+@itemize *
+
+@item
+Very easy to build
+
+@item
+Very quick analysis
+
+@item
+Can handle very large designs
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Simulation is slower
+
+@item
+x86_64/i386 only
+@end itemize
+
+@item
+
+@ref{c6,,LLVM}
+
+@tab
+
+
+@itemize *
+
+@item
+Generated code is faster
+
+@item
+Generated code can be debugged (with @code{-g})
+
+@item
+Easier to build than GCC
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Build is more complex
+@end itemize
+
+@item
+
+@ref{c7,,GCC}
+
+@tab
+
+
+@itemize *
+
+@item
+Generated code is faster (particularly with @code{-O} or @code{-O2})
+
+@item
+Generated code can be debugged (with @code{-g})
+
+@item
+Ported to many platforms (x86, x86_64, PowerPC, SPARC)
+@end itemize
+
+@tab
+
+
+@itemize *
+
+@item
+Build is even more complex
+
+@item
+Analysis can take time (particularly for large units)
+
+@item
+Code coverage collection (@code{gcov}) is unique to GCC
+@end itemize
+
+@end multitable
+
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@menu
+* Directory Structure::
+* mcode Backend::
+* LLVM Backend::
+* GCC Backend::
+
+@end menu
+
+@node Directory Structure,mcode Backend,,Building GHDL from Sources
+@anchor{building/Directories directory-structure}@anchor{c8}@anchor{building/Directories doc}@anchor{c9}@anchor{building/Directories build-dir-structure}@anchor{1a}
+@section Directory Structure
+
+
+
+@itemize *
+
+@item
+@code{src}: sources of GHDL, all of them in Ada.
+
+@item
+@code{libraries}: mostly third party libraries such as, @cite{ieee}, @cite{mentor},
+@cite{std}, @cite{synopsys} and @cite{vital}. Except a few shell and @cite{Python} scripts, all
+the content is written in VHDL.
+
+
+@itemize *
+
+@item
+Vendors like Altera, Lattice and Xilinx have their own simulation libraries,
+especially for FPGA primitives, soft and hard macros. These libraries can
+not be shipped with GHDL, but we offer prepared compile scripts to
+pre-compile the vendor libraries, if the vendor tool is present on the
+computer. These are located in @code{libraries/vendor}.
+See Vendor Primitives <VendorPrimitives.html> for information on how to
+use them.
+@end itemize
+
+@item
+@code{dist}: scripts and auxiliar files to build GHDL in different
+environments:
+
+
+@itemize *
+
+@item
+@code{gcc}: header and configuration files to build GHDL with GCC (all the
+platforms).
+
+@item
+@code{linux}: build and test script written in shell, and other auxiliary
+files used to i) launch docker containers and ii) automate multiple builds
+in Travis CI@footnote{https://travis-ci.org/}.
+
+@item
+@code{windows}:
+
+
+@itemize *
+
+@item
+@code{mcode}:
+
+@item
+@code{appveyor}:
+@end itemize
+@end itemize
+
+@item
+@code{doc}: @cite{Markdown} and @cite{reStructuredText} sources and auxiliary files to
+build the documentation with Sphinx@footnote{http://www.sphinx-doc.org}. Indeed,
+Read the docs@footnote{http://readthedocs.org} (RTD) is used to automatically build
+and deploy this site and/or PDF you are reading.
+
+@item
+@code{testsuite}: see section test_suites.
+
+@item
+@cite{.yml} configuration files for CI environments (@code{readthedocs},
+@code{travis} and @code{appveyor}) and @cite{ignore} files for source control
+management tools (@code{git} and @code{.hg}).
+
+@item
+Files for building GHDL: @code{configure} and @code{Makefile.in}.
+
+@item
+Auxiliar files for development: @code{.gdbinit} and @code{ghdl.gpr.in}
+(GNAT project file).
+
+@item
+Text files: @code{COPYING.md}, @code{NEWS.md} and @code{README.md}.
+@end itemize
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node mcode Backend,LLVM Backend,Directory Structure,Building GHDL from Sources
+@anchor{building/mcode/index mcode-backend}@anchor{ca}@anchor{building/mcode/index build-mcode}@anchor{c5}@anchor{building/mcode/index doc}@anchor{cb}
+@section mcode Backend
+
+
+The mcode backend is available for all supported platforms and is also the most
+simplest procedure, because it requires the least dependencies and configuration
+options.
+
+@subsubheading Requirements
+
+
+
+@itemize *
+
+@item
+GCC (Gnu Compiler Collection)
+
+@item
+GNAT (Ada compiler for GCC)
+@end itemize
+
+@subsubheading Supported platforms
+
+
+
+@itemize *
+
+@item
+@ref{cc,,GNU/Linux with GCC}
+
+@item
+@ref{cd,,Windows (x86_64) with GNAT GPL (x86)}
+
+@item
+Windows (x86_64) with MinGW32/MinGW64
+@end itemize
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@menu
+* GHDL with mcode backend build on GNU/Linux with GCC/GNAT::
+* GHDL with mcode backend build on Windows with GNAT GPL::
+* GHDL with mcode backend build on Windows with GCC/GNAT (MinGW): GHDL with mcode backend build on Windows with GCC/GNAT MinGW.
+
+@end menu
+
+@node GHDL with mcode backend build on GNU/Linux with GCC/GNAT,GHDL with mcode backend build on Windows with GNAT GPL,,mcode Backend
+@anchor{building/mcode/GNULinux-GNAT build-mcode-gnulinux-gnat}@anchor{cc}@anchor{building/mcode/GNULinux-GNAT doc}@anchor{ce}@anchor{building/mcode/GNULinux-GNAT ghdl-with-mcode-backend-build-on-gnu-linux-with-gcc-gnat}@anchor{cf}
+@subsection GHDL with mcode backend build on GNU/Linux with GCC/GNAT
+
+
+On Linux, GHDL is configured by @code{configure} and build by @code{make}.
+
+
+@itemize *
+
+@item
+First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
+(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
+other option, @code{configure} select @cite{mcode} as backend.
+
+@item
+Next, @code{make} starts the compilation process.
+
+@item
+Finally, @code{make install} installs GHDL into the installation directory
+specified by @code{PREFIX}. You may need super user privileges (@code{sudo ...}).
+@end itemize
+
+@subsubheading Example:
+
+
+@example
+cd <ghdl>
+mkdir build
+cd build
+../configure --prefix=PREFIX
+make
+sudo make install
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node GHDL with mcode backend build on Windows with GNAT GPL,GHDL with mcode backend build on Windows with GCC/GNAT MinGW,GHDL with mcode backend build on GNU/Linux with GCC/GNAT,mcode Backend
+@anchor{building/mcode/Windows-GNATGPL build-mcode-windows-gnatgpl}@anchor{cd}@anchor{building/mcode/Windows-GNATGPL doc}@anchor{d0}@anchor{building/mcode/Windows-GNATGPL ghdl-with-mcode-backend-build-on-windows-with-gnat-gpl}@anchor{d1}
+@subsection GHDL with mcode backend build on Windows with GNAT GPL
+
+
+@menu
+* Requirements::
+* Scripts and Parameters::
+
+@end menu
+
+@node Requirements,Scripts and Parameters,,GHDL with mcode backend build on Windows with GNAT GPL
+@anchor{building/mcode/Windows-GNATGPL requirements}@anchor{d2}
+@subsubsection Requirements
+
+
+
+@itemize *
+
+@item
+GNAT GPL from @indicateurl{http://libre.adacore.com}
+
+@item
+PowerShell 4
+
+@item
+PowerShell Community Extensions (PSCX)
+@end itemize
+
+@node Scripts and Parameters,,Requirements,GHDL with mcode backend build on Windows with GNAT GPL
+@anchor{building/mcode/Windows-GNATGPL scripts-and-parameters}@anchor{d3}
+@subsubsection Scripts and Parameters
+
+
+@menu
+* compile.ps1: compile ps1.
+
+@end menu
+
+@node compile ps1,,,Scripts and Parameters
+@anchor{building/mcode/Windows-GNATGPL compile-ps1}@anchor{d4}
+@subsubsection @cite{compile.ps1}
+
+
+@example
+Commands Description
+--------------------------------------------------------------------
+-Help Display the integrated help pages
+-Clean Clean up all files and directories
+-Compile Compile GHDL
+-Install Install all files into a directory (xcopy deployment)
+-Uninstall Uninstall all files from a directory
+-Update Update files in the installation directory
+-CreatePackage create an installer package
+
+Install options:
+-InstallPath Installation directory
+
+CreatePackage options:
+-Zip Create a zip-file for xcopy deployment
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node GHDL with mcode backend build on Windows with GCC/GNAT MinGW,,GHDL with mcode backend build on Windows with GNAT GPL,mcode Backend
+@anchor{building/mcode/Windows-MinGW-GNAT ghdl-with-mcode-backend-build-on-windows-with-gcc-gnat-mingw}@anchor{d5}@anchor{building/mcode/Windows-MinGW-GNAT build-mcode-windows-mingw-gnat}@anchor{d6}@anchor{building/mcode/Windows-MinGW-GNAT doc}@anchor{d7}
+@subsection GHDL with mcode backend build on Windows with GCC/GNAT (MinGW)
+
+
+On Windows with MinGW, GHDL is configured by @code{configure} and build by @code{make}.
+
+
+@itemize *
+
+@item
+First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
+(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
+other option, @code{configure} select @cite{mcode} as backend.
+
+@item
+Next, @code{make} starts the compilation process.
+
+@item
+Finally, @code{make install} installs GHDL into the installation directory
+specified by @code{PREFIX}.
+@end itemize
+
+@subsubheading Example:
+
+
+@example
+cd <ghdl>
+mkdir build
+cd build
+../configure --prefix=PREFIX
+make
+make install
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node LLVM Backend,GCC Backend,mcode Backend,Building GHDL from Sources
+@anchor{building/llvm/index build-llvm}@anchor{c6}@anchor{building/llvm/index doc}@anchor{d8}@anchor{building/llvm/index llvm-backend}@anchor{d9}
+@section LLVM Backend
+
+
+@subsubheading Requirements
+
+
+
+@itemize *
+
+@item
+GCC (Gnu Compiler Collection)
+
+@item
+GNAT (Ada compiler for GCC)
+
+@item
+LLVM (Low-Level-Virtual Machine)
+
+
+@itemize *
+
+@item
+3.5
+
+@item
+3.8
+
+@item
+3.9
+
+@item
+4.0
+
+@item
+5.0
+@end itemize
+
+@item
+CLANG (Compiler front-end for LLVM)
+
+
+@itemize *
+
+@item
+3.5
+
+@item
+3.8
+
+@item
+3.9
+
+@item
+4.0
+
+@item
+5.0
+@end itemize
+@end itemize
+
+@subsubheading Supported platforms
+
+
+
+@itemize *
+
+@item
+GNU/Linux with GCC
+
+@item
+Mac OS
+
+@item
+Windows (x86_64) with MinGW32/MinGW64
+@end itemize
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@menu
+* GNU/Linux with GCC/GNAT::
+* GHDL with LLVM backend build on Windows with GCC/GNAT (MinGW): GHDL with LLVM backend build on Windows with GCC/GNAT MinGW.
+
+@end menu
+
+@node GNU/Linux with GCC/GNAT,GHDL with LLVM backend build on Windows with GCC/GNAT MinGW,,LLVM Backend
+@anchor{building/llvm/GNULinux-GNAT build-mcode-gnulinux-gnat}@anchor{da}@anchor{building/llvm/GNULinux-GNAT gnu-linux-with-gcc-gnat}@anchor{db}@anchor{building/llvm/GNULinux-GNAT doc}@anchor{dc}
+@subsection GNU/Linux with GCC/GNAT
+
+
+On Linux, GHDL is configured by @code{configure} and build by @code{make}.
+
+
+@itemize *
+
+@item
+First, GHDL needs to be configured. It is common to specify a PREFIX
+(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
+other option, @code{configure} select @cite{mcode} as backend.
+
+@item
+Next, @code{make} starts the compilation process.
+
+@item
+Finally, @code{make install} installs GHDL into the installation directory
+specified by PREFIX. You may need super user privileges (@code{sudo ...}).
+@end itemize
+
+@subsubheading Example:
+
+
+@example
+cd <ghdl>
+mkdir build
+cd build
+../configure --prefix=PREFIX
+make
+sudo make install
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node GHDL with LLVM backend build on Windows with GCC/GNAT MinGW,,GNU/Linux with GCC/GNAT,LLVM Backend
+@anchor{building/llvm/Windows-MinGW-GNAT ghdl-with-llvm-backend-build-on-windows-with-gcc-gnat-mingw}@anchor{dd}@anchor{building/llvm/Windows-MinGW-GNAT build-llvm-windows-mingw-gnat}@anchor{de}@anchor{building/llvm/Windows-MinGW-GNAT doc}@anchor{df}
+@subsection GHDL with LLVM backend build on Windows with GCC/GNAT (MinGW)
+
+
+On Windows with MinGW, GHDL is configured by @code{configure} and build by @code{make}.
+
+
+@itemize *
+
+@item
+First, GHDL needs to be configured. It is common to specify a @code{PREFIX}
+(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
+other option, @code{configure} select @cite{mcode} as backend.
+
+@item
+Next, @code{make} starts the compilation process.
+
+@item
+Finally, @code{make install} installs GHDL into the installation directory
+specified by @code{PREFIX}.
+@end itemize
+
+@subsubheading Example:
+
+
+@example
+cd <ghdl>
+mkdir build
+cd build
+../configure --prefix=PREFIX
+make
+make install
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node GCC Backend,,LLVM Backend,Building GHDL from Sources
+@anchor{building/gcc/index gcc-backend}@anchor{e0}@anchor{building/gcc/index build-gcc}@anchor{c7}@anchor{building/gcc/index doc}@anchor{e1}
+@section GCC Backend
+
+
+@subsubheading Requirements
+
+
+
+@itemize *
+
+@item
+GCC (Gnu Compiler Collection)
+
+@item
+GCC source files
+
+@item
+GNAT (Ada compiler for GCC)
+@end itemize
+
+@subsubheading Supported platforms
+
+
+
+@itemize *
+
+@item
+GNU/Linux with GCC
+
+@item
+Windows (x86_64) with MinGW32/MinGW64
+@end itemize
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@menu
+* GNU/Linux with GCC/GNAT: GNU/Linux with GCC/GNAT<2>.
+* GHDL with GCC backend build on Windows with GCC/GNAT (MinGW): GHDL with GCC backend build on Windows with GCC/GNAT MinGW.
+
+@end menu
+
+@node GNU/Linux with GCC/GNAT<2>,GHDL with GCC backend build on Windows with GCC/GNAT MinGW,,GCC Backend
+@anchor{building/gcc/GNULinux-GNAT build-mcode-gnulinux-gnat}@anchor{e2}@anchor{building/gcc/GNULinux-GNAT gnu-linux-with-gcc-gnat}@anchor{e3}@anchor{building/gcc/GNULinux-GNAT doc}@anchor{e4}
+@subsection GNU/Linux with GCC/GNAT
+
+
+On Linux, GHDL is configured by @code{configure} and build by @code{make}.
+
+
+@itemize *
+
+@item
+First, GHDL needs to be configured. It is common to specify a PREFIX
+(installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any
+other option, @code{configure} select @cite{mcode} as backend.
+
+@item
+Next, @code{make} starts the compilation process.
+
+@item
+Finally, @code{make install} installs GHDL into the installation directory
+specified by PREFIX. You may need super user privileges (@code{sudo ...}).
+@end itemize
+
+@subsubheading Example:
+
+
+@example
+cd <ghdl>
+mkdir build
+cd build
+../configure --prefix=PREFIX
+make
+sudo make install
+@end example
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node GHDL with GCC backend build on Windows with GCC/GNAT MinGW,,GNU/Linux with GCC/GNAT<2>,GCC Backend
+@anchor{building/gcc/Windows-MinGW-GNAT build-gcc-windows-mingw-gnat}@anchor{e5}@anchor{building/gcc/Windows-MinGW-GNAT doc}@anchor{e6}@anchor{building/gcc/Windows-MinGW-GNAT ghdl-with-gcc-backend-build-on-windows-with-gcc-gnat-mingw}@anchor{e7}
+@subsection GHDL with GCC backend build on Windows with GCC/GNAT (MinGW)
+
+
+@cartouche
+@quotation Todo
+Under investigation on how to build that beast.
+@end quotation
+@end cartouche
+
+@c #
+@c On Windows with MinGW, GHDL is configured by ``configure`` and build by ``make``.
+@c
+@c * First, GHDL needs to be configured. It is common to specify a ``PREFIX``
+@c (installation directory like ``/usr/local`` or ``/opt/ghdl``). Without any
+@c other option, ``configure`` select `mcode` as backend.
+@c
+@c * Next, ``make`` starts the compilation process.
+@c
+@c * Finally, ``make install`` installs GHDL into the installation directory
+@c specified by ``PREFIX``.
+@c
+@c .. rubric:: Example:
+@c
+@c .. code-block:: Bash
+@c
+@c cd <ghdl>
+@c mkdir build
+@c cd build
+@c ../configure --prefix=PREFIX
+@c make
+@c make install
+
+@cartouche
+@quotation Hint
+The output of both GCC and LLVM is an executable file, but @cite{mcode} does not
+generate any. Therefore, if using GCC/LLVM, the call with argument @code{-r} can
+be replaced with direct execution of the binary. See section @ref{d,,Quick Start Guide}.
+@end quotation
+@end cartouche
+
+After making your choice, you can jump to the corresponding section.
+However, we suggest you to read @ref{1a,,Directory Structure} before, so that you
+know where the content is placed and which temporal files are expected to be
+created.
+
+@cartouche
+@quotation Hint
+Since GHDL is written in @cite{Ada}, independently of the code generator you use,
+the @cite{GNU Ada compiler}, @cite{GNAT GPL}, is required, 2014 (or later) for @code{x86}
+(32 or 64 bits). @cite{GNAT GPL} can be downloaded anonymously from libre.adacore.com@footnote{http://libre.adacore.com/tools/gnat-gpl-edition/}.
+Then, untar and run the doinstall script. Alternatively, most GNU/Linux
+provide a package named @code{gcc-ada} or @code{gcc-gnat}.
+@end quotation
+@end cartouche
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Precompile Vendor Primitives,Command Reference,Building GHDL from Sources,Top
+@anchor{building/PrecompileVendorPrimitives precompile-vendor-primitives}@anchor{e8}@anchor{building/PrecompileVendorPrimitives getting-precompvendor}@anchor{e9}@anchor{building/PrecompileVendorPrimitives doc}@anchor{ea}
+@chapter Precompile Vendor Primitives
+
+
+Vendors like Altera, Lattice and Xilinx have their own simulation libraries,
+especially for FPGA primitives, soft and hard macros. These libraries can not
+be shipped with @emph{GHDL}, but we offer prepared compile scripts to pre-compile
+the vendor libraries, if the vendor tool is present on the computer. There are
+also popular simulation and verification libraries like OSVVM @footnote{
+OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
+}, VUnit
+@footnote{
+VUnit @indicateurl{https://github.com/VUnit/vunit}
+} or UVVM @footnote{
+UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
+}, which can be pre-compiled, too.
+
+The compilation scripts are writen in the shell languages: @emph{PowerShell} for
+@emph{Windows} ™ and @emph{Bash} for @emph{GNU/Linux}. The compile scripts can colorize
+the GHDL warning and error lines with the help of @cite{grc/grcat} @footnote{
+Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
+}.
+
+@menu
+* Supported Vendors Libraries::
+* Supported Simulation and Verification Libraries::
+* Script Configuration::
+* Compiling on Linux::
+* Compiling on Windows::
+* Configuration Files::
+
+@end menu
+
+@node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives supported-vendors-libraries}@anchor{eb}
+@section Supported Vendors Libraries
+
+
+
+@itemize *
+
+@item
+Altera/Intel Quartus (13.0 or later):
+
+
+@itemize *
+
+@item
+@cite{lpm}, @cite{sgate}
+
+@item
+@cite{altera}, @cite{altera_mf}, @cite{altera_lnsim}
+
+@item
+@cite{arriaii}, @cite{arriaii_pcie_hip}, @cite{arriaiigz}
+
+@item
+@cite{arriav}, @cite{arriavgz}, @cite{arriavgz_pcie_hip}
+
+@item
+@cite{cycloneiv}, @cite{cycloneiv_pcie_hip}, @cite{cycloneive}
+
+@item
+@cite{cyclonev}
+
+@item
+@cite{max}, @cite{maxii}, @cite{maxv}
+
+@item
+@cite{stratixiv}, @cite{stratixiv_pcie_hip}
+
+@item
+@cite{stratixv}, @cite{stratixv_pcie_hip}
+
+@item
+@cite{fiftyfivenm}, @cite{twentynm}
+@end itemize
+
+@item
+Lattice (3.6 or later):
+
+
+@itemize *
+
+@item
+@cite{ec}
+
+@item
+@cite{ecp}, @cite{ecp2}, @cite{ecp3}, @cite{ecp5u}
+
+@item
+@cite{lptm}, @cite{lptm2}
+
+@item
+@cite{machxo}, @cite{machxo2}, @cite{machxo3l}
+
+@item
+@cite{sc}, @cite{scm}
+
+@item
+@cite{xp}, @cite{xp2}
+@end itemize
+
+@item
+Xilinx ISE (14.0 or later):
+
+
+@itemize *
+
+@item
+@cite{unisim} (incl. @cite{secureip})
+
+@item
+@cite{unimacro}
+
+@item
+@cite{simprim} (incl. @cite{secureip})
+
+@item
+@cite{xilinxcorelib}
+@end itemize
+
+@item
+Xilinx Vivado (2014.1 or later):
+
+
+@itemize *
+
+@item
+@cite{unisim} (incl. @cite{secureip})
+
+@item
+@cite{unimacro}
+@end itemize
+@end itemize
+
+@node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives supported-simulation-and-verification-libraries}@anchor{ec}
+@section Supported Simulation and Verification Libraries
+
+
+
+@itemize *
+
+@item
+OSVVM (for VHDL-2008)
@quotation
-(gdb) break __ghdl_fatal
+
+@itemize *
+
+@item
+osvvm
+@end itemize
+@end quotation
+
+@item
+VUnit (for VHDL-2008)
+
+@quotation
+
+
+@itemize *
+
+@item
+vunit_lib
+@end itemize
+@end quotation
+
+@item
+UVVM (for VHDL-2008)
+
+
+@itemize *
+
+@item
+@end itemize
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Script Configuration,Compiling on Linux,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives script-configuration}@anchor{ed}
+@section Script Configuration
+
+
+The vendor library compile scripts need to know where the used / latest vendor
+tool chain is installed. Therefore, the script implement a default installation
+directory search as well as environment variable checks. If a vendor tool could
+not be detected or the script choses the wrong vendor library source directory,
+then it’s possible to provide the path via @cite{–source} or @cite{-Source}.
+
+The generated output is stored relative to the current working directory. The
+scripts create a sub-directory for each vendor. The default output directory can
+be overwritten by the parameter @cite{–output} or @cite{-Output}.
+
+To compile all source files with GHDL, the simulator executable is searched in
+@cite{PATH}. The found default GHDL executable can be overwritten by setting the
+environment variable @cite{GHDL} or by passing the parameter @cite{–ghdl} or @cite{-GHDL} to
+the scripts.
+
+If the vendor library compilation is used very often, we recommend to configure
+these parameters in @cite{config.sh} or @cite{config.psm1}, so the command line can be
+shortened to the essential parts.
+
+
+__________________________________________________________________
+
+
+@node Compiling on Linux,Compiling on Windows,Script Configuration,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives compiling-on-linux}@anchor{ee}
+@section Compiling on Linux
+
+
+
+@itemize *
+
+@item
+
+@table @asis
+
+@item @strong{Step 0 - Configure the scripts (optional)}
+
+See next section for how to configure @cite{config.sh}.
+@end table
+
+@item
+@strong{Step 1 - Browse to your simulation working directory}
+
+@example
+$ cd <MySimulationFolder>
+`@w{`}`
+@end example
+
+@item
+@strong{Step 2 - Start the compilation script(s)}
+
+@example
+$ /usr/local/lib/ghdl/vendors/compile-altera.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-lattice.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-xilinx-ise.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-xilinx-vivado.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-osvvm.sh --all
+$ /usr/local/lib/ghdl/vendors/compile-vunit.sh --all
+`@w{`}`
+
+In most cases GHDL is installed into `/usr/local/`. The scripts are
+installed into the `lib` directory.
+@end example
+
+@item
+
+@table @asis
+
+@item @strong{Step 3 - Viewing the result}
+
+This creates vendor directories in your current working directory and
+compiles the vendor files into them.
+@end table
+
+@example
+$ ls -ahl
+...
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:41 altera
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:42 lattice
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:48 osvvm
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:58 vunit
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:58 xilinx-ise
+drwxr-xr-x 2 <user> <group> 56K Nov 30 17:48 xilinx-vivado
+`@w{`}`
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Compiling on Windows,Configuration Files,Compiling on Linux,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives compiling-on-windows}@anchor{ef}
+@section Compiling on Windows
+
+
+
+@itemize *
+
+@item
+@strong{Step 0 - Configure the scripts (optional)}
+
+See next section for how to configure @cite{config.psm1}.
+
+@item
+@strong{Step 1 - Browse to your simulation working directory}
+
+@example
+PS> cd <MySimulationFolder>
+@end example
+
+@item
+@strong{Step 2 - Start the compilation script(s)}
+
+@example
+PS> <GHDL>\libraries\vendors\compile-altera.ps1 -All
+PS> <GHDL>\libraries\vendors\compile-lattice.ps1 -All
+PS> <GHDL>\libraries\vendors\compile-xilinx-ise.ps1 -All
+PS> <GHDL>\libraries\vendors\compile-xilinx-vivado.ps1 -All
+PS> <GHDL>\libraries\vendors\compile-osvvm.ps1 -All
+PS> <GHDL>\libraries\vendors\compile-vunit.ps1 -All
+@end example
+
+@item
+@strong{Step 3 - Viewing the result}
+This creates vendor directories in your current working directory and
+compiles the vendor files into them.
+
+@example
+PS> dir
+ Directory: D:\temp\ghdl
+
+Mode LastWriteTime Length Name
+---- ------------- ------ ----
+d---- 20.11.2015 19:33 <DIR> altera
+d---- 20.11.2015 19:38 <DIR> lattice
+d---- 20.11.2015 19:38 <DIR> osvvm
+d---- 20.11.2015 19:45 <DIR> vunit_lib
+d---- 20.11.2015 19:06 <DIR> xilinx-ise
+d---- 20.11.2015 19:40 <DIR> xilinx-vivado
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@node Configuration Files,,Compiling on Windows,Precompile Vendor Primitives
+@anchor{building/PrecompileVendorPrimitives configuration-files}@anchor{f0}
+@section Configuration Files
+
+
+@menu
+* For Linux; config.sh: For Linux config sh.
+* For Windows; config.psm1: For Windows config psm1.
+* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts.
+* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts.
+
+@end menu
+
+@node For Linux config sh,For Windows config psm1,,Configuration Files
+@anchor{building/PrecompileVendorPrimitives for-linux-config-sh}@anchor{f1}
+@subsection For Linux: @cite{config.sh}
+
+
+Please open the @cite{config.sh} file and set the dictionary entries for the
+installed vendor tools to the appropriate directory to your tool’s installation
+directories. Use an empty string @cite{“”} for not installed tools.
+
+@cite{config.sh}:
+
+@example
+declare -A InstallationDirectory
+InstallationDirectory[AlteraQuartus]="/opt/Altera/16.0"
+InstallationDirectory[LatticeDiamond]="/opt/Diamond/3.8_x64"
+InstallationDirectory[OSVVM]="/home/<user>/git/GitHub/osvvm"
+InstallationDirectory[VUnit]="/home/<user>/git/GitHub/vunit"
+InstallationDirectory[XilinxISE]="/opt/Xilinx/14.7"
+InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2016.3"
+@end example
+
+@node For Windows config psm1,Selectable Options for the Bash Scripts,For Linux config sh,Configuration Files
+@anchor{building/PrecompileVendorPrimitives for-windows-config-psm1}@anchor{f2}
+@subsection For Windows: @cite{config.psm1}
+
+
+Please open the @cite{config.psm1} file and set the dictionary entries for the
+installed vendor tools to the appropriate directory to your tool’s installation
+folder. Use an empty string @cite{“”} for not installed tools.
+
+@cite{config.psm1}:
+
+@example
+$InstallationDirectory = @@@{
+ "AlteraQuartus" = "C:\Altera\16.0";
+ "LatticeDiamond" = "C:\Lattice\Diamond\3.8_x64";
+ "XilinxISE" = "C:\Xilinx\14.7\ISE_DS";
+ "XilinxVivado" = "C:\Xilinx\Vivado\2016.3";
+ "OSVVM" = "D:\git\GitHub\osvvm";
+ "VUnit" = "D:\git\GitHub\vunit"
+@}
+@end example
+
+@node Selectable Options for the Bash Scripts,Selectable Options for the PowerShell Scripts,For Windows config psm1,Configuration Files
+@anchor{building/PrecompileVendorPrimitives selectable-options-for-the-bash-scripts}@anchor{f3}
+@subsection Selectable Options for the Bash Scripts:
+
+
+@emph{First I should translate the scripts before writing the docu…}
+
+
+@itemize *
+
+@item
+Common parameters to most scripts:
+
+@example
+-h --help Print the embedded help page(s).
+-c --clean Cleanup directory before analyzing.
+-n --no-warnings Don't show warnings. Report errors only.
+-s --skip-existing Skip already compiled files (an *.o file exists).
+-S --skip-largefiles Don't compile large entities like DSP and PCIe primitives.
+-H --halt-on-error Stop compiling if an error occured.
+@end example
+
+@item
+@cite{compile-altera.sh}
+
+Selectable libraries:
+
+@example
+ -a --all Compile all libraries, including common libraries, packages and device libraries.
+ --altera Compile base libraries like 'altera' and 'altera_mf'
+ --max Compile device libraries for Max CPLDs
+ --arria Compile device libraries for Arria FPGAs
+ --cyclone Compile device libraries for Cyclone FPGAs
+ --stratix Compile device libraries for Stratix FPGAs
+
+Compile options:
+
+.. code-block:: raw
+
+
+ --vhdl93 Compile selected libraries with VHDL-93 (default).
+ --vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-xilinx-ise.sh}
+
+Selectable libraries:
+
+@example
+-a --all Compile all libraries, including common libraries, packages and device libraries.
+ --unisim Compile the unisim primitives
+ --unimacro Compile the unimacro macros
+ --simprim Compile the simprim primitives
+ --corelib Compile the xilinxcorelib macros
+ --secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+--vhdl93 Compile selected libraries with VHDL-93 (default).
+--vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-xilinx-vivado.sh}
+
+Selectable libraries:
+
+@example
+-a --all Compile all libraries, including common libraries, packages and device libraries.
+ --unisim Compile the unisim primitives
+ --unimacro Compile the unimacro macros
+ --secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+--vhdl93 Compile selected libraries with VHDL-93 (default).
+--vhdl2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-osvvm.sh}
+
+Selectable libraries:
+
+@example
+-a --all Compile all.
+ --osvvm Compile the OSVVM library.
+@end example
+
+@item
+@cite{compile-vunit.sh}
+
+Selectable libraries:
+
+@example
+-a --all Compile all.
+ --osvvm Compile the VUnit library.
+@end example
+
+@item
+@cite{compile-uvvm.sh}
+
+Selectable libraries:
+
+@example
+-a --all Compile all.
+ --uvvm Compile the VUnit library.
+@end example
+@end itemize
+
+@node Selectable Options for the PowerShell Scripts,,Selectable Options for the Bash Scripts,Configuration Files
+@anchor{building/PrecompileVendorPrimitives selectable-options-for-the-powershell-scripts}@anchor{f4}
+@subsection Selectable Options for the PowerShell Scripts:
+
+
+
+@itemize *
+
+@item
+Common parameters to all scripts:
+
+@example
+-Help Print the embedded help page(s).
+-Clean Cleanup directory before analyzing.
+-SuppressWarnings Don't show warnings. Report errors only.
+@end example
+
+@item
+@cite{compile-altera.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Altera Compile base libraries like 'altera' and 'altera_mf'
+-Max Compile device libraries for Max CPLDs
+-Arria Compile device libraries for Arria FPGAs
+-Cyclone Compile device libraries for Cyclone FPGAs
+-Stratix Compile device libraries for Stratix FPGAs
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-xilinx-ise.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Unisim Compile the unisim primitives
+-Unimacro Compile the unimacro macros
+-Simprim Compile the simprim primitives
+-CoreLib Compile the xilinxcorelib macros
+-Secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-xilinx-vivado.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all libraries, including common libraries, packages and device libraries.
+-Unisim Compile the unisim primitives
+-Unimacro Compile the unimacro macros
+-Secureip Compile the secureip primitives
+@end example
+
+Compile options:
+
+@example
+-VHDL93 Compile selected libraries with VHDL-93 (default).
+-VHDL2008 Compile selected libraries with VHDL-2008.
+@end example
+
+@item
+@cite{compile-osvvm.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all.
+-OSVVM Compile the OSVVM library.
+@end example
+
+@item
+@cite{compile-vunit.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all.
+-VUnit Compile the VUnit library.
+@end example
+
+@item
+@cite{compile-uvvm.ps1}
+
+Selectable libraries:
+
+@example
+-All Compile all.
+-UVVM Compile the UVVM libraries.
+@end example
+@end itemize
+
+
+__________________________________________________________________
+
+
+@quotation
@end quotation
-When the breakpoint is hit, use the @cite{where} or @cite{bt} command to
-display the stack frames.
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Command Reference,Coding Style,Precompile Vendor Primitives,Top
+@anchor{references/CommandReference ref-command}@anchor{f}@anchor{references/CommandReference command-reference}@anchor{f5}@anchor{references/CommandReference doc}@anchor{f6}
+@chapter Command Reference
+
+
+@cartouche
+@quotation Hint
+The most common commands and options are shown in section @ref{e,,Invoking GHDL}. Here the advanced and experimental features are described.
+@end quotation
+@end cartouche
+
+@menu
+* Environment variables::
+* Misc commands::
+* File commands::
+* GCC/LLVM only commands::
+* Options: Options<2>.
+* Passing options to other programs::
+
+@end menu
+
+@node Environment variables,Misc commands,,Command Reference
+@anchor{references/CommandReference environment-variables}@anchor{f7}
+@section Environment variables
+
+
+@geindex environment variable; GHDL_PREFIX
+@anchor{references/CommandReference envvar-GHDL_PREFIX}@anchor{32}
+@deffn {Environment Variable} GHDL_PREFIX
+@end deffn
+
+@node Misc commands,File commands,Environment variables,Command Reference
+@anchor{references/CommandReference misc-commands}@anchor{f8}
+@section Misc commands
+
+
+There are a few GHDL commands which are seldom useful.
+
+@geindex cmd help
+
+@menu
+* Help [-h]::
+* Display config [--disp-config]::
+* Display standard [--disp-standard]::
+* Version [--version]::
+
+@end menu
+
+@node Help [-h],Display config [--disp-config],,Misc commands
+@anchor{references/CommandReference help-h}@anchor{f9}
+@subsection Help [@code{-h}]
+
+
+@geindex ghdl command line option; --help@comma{} -h
+@anchor{references/CommandReference cmdoption-ghdl-help}@anchor{fa}
+@deffn {Option} @w{-}@w{-}help, @w{-}h
+@end deffn
+
+Display (on the standard output) a short description of the all the commands
+available. If the help switch is followed by a command switch, then options
+for this later command are displayed:
+
+@example
+ghdl --help
+ghdl -h
+ghdl -h command
+@end example
+
+@geindex cmd display configuration
+
+@node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
+@anchor{references/CommandReference display-config-disp-config}@anchor{fb}
+@subsection Display config [@code{--disp-config}]
+
+
+@geindex ghdl command line option; --disp-config <[options]>
+@anchor{references/CommandReference cmdoption-ghdl-disp-config}@anchor{33}
+@deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
+@end deffn
+
+Display the program paths and options used by GHDL. This may be useful to track installation errors.
+
+@geindex cmd display standard
+
+@geindex display `@w{`}std.standard`@w{`}
+
+@node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
+@anchor{references/CommandReference display-standard-disp-standard}@anchor{fc}
+@subsection Display standard [@code{--disp-standard}]
+
+
+@geindex ghdl command line option; --disp-standard <[options]>
+@anchor{references/CommandReference cmdoption-ghdl-disp-standard}@anchor{fd}
+@deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
+@end deffn
+
+Display the @code{std.standard} package.
+
+@geindex cmd version
+
+@node Version [--version],,Display standard [--disp-standard],Misc commands
+@anchor{references/CommandReference version-version}@anchor{fe}
+@subsection Version [@code{--version}]
+
+
+@geindex ghdl command line option; --version@comma{} -v
+@anchor{references/CommandReference cmdoption-ghdl-version}@anchor{ff}
+@deffn {Option} @w{-}@w{-}version, @w{-}v
+@end deffn
+
+Display the GHDL version and exit.
+
+@node File commands,GCC/LLVM only commands,Misc commands,Command Reference
+@anchor{references/CommandReference file-commands}@anchor{100}
+@section File commands
+
+
+The following commands act on one or several files. These are not analyzed, therefore, they work even if a file has semantic errors.
+
+@geindex cmd file pretty printing
+
+@geindex vhdl to html
+
+@menu
+* Pretty print [--pp-html]::
+* Find [-f]::
+* Chop [--chop]::
+* Lines [--lines]::
+
+@end menu
+
+@node Pretty print [--pp-html],Find [-f],,File commands
+@anchor{references/CommandReference pretty-print-pp-html}@anchor{101}
+@subsection Pretty print [@code{--pp-html}]
+
+
+@geindex ghdl command line option; --pp-html <[options] file...>
+@anchor{references/CommandReference cmdoption-ghdl-pp-html}@anchor{102}
+@deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
+@end deffn
+
+The files are just scanned and an html file, with syntax highlighting is generated on standard output. Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
+
+The style of the html file can be modified with the @code{--format=} option:
+
+
+@itemize *
+
+@item
+By default or when the @code{--format=html2} option is specified, the output is an HTML 2.0 file, with colours set through @cite{<FONT>} tags.
+
+@item
+When the @code{--format=css} option is specified, the output is an HTML 4.0 file, with colours set through a CSS file, whose name is @code{ghdl.css}. See Cross-reference_command, for more details about this CSS file.
+@end itemize
+
+@geindex cmd file find
+
+@node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
+@anchor{references/CommandReference find-f}@anchor{103}
+@subsection Find [@code{-f}]
+
+
+@geindex ghdl command line option; -f <file...>
+@anchor{references/CommandReference cmdoption-ghdl-f}@anchor{47}
+@deffn {Option} @w{-}f <file...>
+@end deffn
+
+The files are scanned, parsed and the names of design units are displayed. Design units marked with two stars are candidate to be at the apex of a design hierarchy.
+
+@geindex cmd file chop
+
+@node Chop [--chop],Lines [--lines],Find [-f],File commands
+@anchor{references/CommandReference chop-chop}@anchor{104}
+@subsection Chop [@code{--chop}]
+
+
+@geindex ghdl command line option; --chop <files...>
+@anchor{references/CommandReference cmdoption-ghdl-chop}@anchor{105}
+@deffn {Option} @w{-}@w{-}chop <files...>
+@end deffn
+
+The provided files are read, and a file is written in the current directory for every design unit. Each filename is build according to the type:
+
+
+@itemize *
+
+@item
+For an entity declaration, a package declaration or a configuration the file name is @code{NAME.vhdl}, where @cite{NAME} is the name of the design unit.
+
+@item
+For a package body, the filename is @code{NAME-body.vhdl}.
+
+@item
+Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the filename is @code{ENTITY-ARCH.vhdl}.
+@end itemize
+
+Since the input files are parsed, this command aborts in case of syntax error. The command aborts too if a file to be written already exists.
+
+Comments between design units are stored into the most adequate files.
+
+This command may be useful to split big files, if your computer has not enough memory to compile such files. The size of the executable is reduced too.
+
+@geindex cmd file lines
+
+@node Lines [--lines],,Chop [--chop],File commands
+@anchor{references/CommandReference lines-lines}@anchor{106}
+@subsection Lines [@code{--lines}]
+
+
+@geindex ghdl command line option; --lines <files...>
+@anchor{references/CommandReference cmdoption-ghdl-lines}@anchor{107}
+@deffn {Option} @w{-}@w{-}lines <files...>
+@end deffn
+
+Display on the standard output lines of files preceded by line number.
+
+@node GCC/LLVM only commands,Options<2>,File commands,Command Reference
+@anchor{references/CommandReference gcc-llvm-only-commands}@anchor{108}
+@section GCC/LLVM only commands
+
+
+@geindex cmd GCC/LLVM binding
+
+@menu
+* Bind [--bind]::
+* Link [--link]::
+* List link [--list-link]::
+
+@end menu
+
+@node Bind [--bind],Link [--link],,GCC/LLVM only commands
+@anchor{references/CommandReference bind-bind}@anchor{109}
+@subsection Bind [@code{--bind}]
+
+
+@geindex ghdl command line option; --bind <[options] primary_unit [secondary_unit]>
+@anchor{references/CommandReference cmdoption-ghdl-bind}@anchor{46}
+@deffn {Option} @w{-}@w{-}bind <[options] primary_unit [secondary_unit]>
+@end deffn
+
+Performs only the first stage of the elaboration command; the list of objects files is created but the executable is not built. This command should be used only when the main entry point is not GHDL.
+
+@geindex cmd GCC/LLVM linking
+
+@node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
+@anchor{references/CommandReference link-link}@anchor{10a}
+@subsection Link [@code{--link}]
+
+
+@geindex ghdl command line option; --link <[options] primary_unit [secondary_unit]>
+@anchor{references/CommandReference cmdoption-ghdl-link}@anchor{10b}
+@deffn {Option} @w{-}@w{-}link <[options] primary_unit [secondary_unit]>
+@end deffn
-@node GHDL implementation of VHDL,GHDL implementation of VITAL,Simulation and runtime,Top
-@anchor{GHDL_implementation_of_VHDL doc}@anchor{92}@anchor{GHDL_implementation_of_VHDL ghdl-implementation-of-vhdl}@anchor{93}
-@chapter GHDL implementation of VHDL
+Performs only the second stage of the elaboration command: the executable is created by linking the files of the object files list. This command is available only for completeness. The elaboration command is equivalent to the bind command followed by the link command.
+
+@geindex cmd GCC/LLVM list link
+
+@node List link [--list-link],,Link [--link],GCC/LLVM only commands
+@anchor{references/CommandReference list-link-list-link}@anchor{10c}
+@subsection List link [@code{--list-link}]
+
+
+@geindex ghdl command line option; --list-link <primary_unit [secondary_unit]>
+@anchor{references/CommandReference cmdoption-ghdl-list-link}@anchor{10d}
+@deffn {Option} @w{-}@w{-}list@w{-}link <primary_unit [secondary_unit]>
+@end deffn
+
+This command may be used only after a bind command. GHDL displays all the files which will be linked to create an executable. This command is intended to add object files in a link of a foreign program.
+
+@node Options<2>,Passing options to other programs,GCC/LLVM only commands,Command Reference
+@anchor{references/CommandReference options}@anchor{10e}
+@section Options
+
+
+@geindex ghdl command line option; --mb-comments@comma{} -C
+@anchor{references/CommandReference cmdoption-ghdl-mb-comments}@anchor{28}
+@deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
+@end deffn
+
+Allow multi-bytes chars in a comment
+
+@geindex ghdl command line option; --syn-binding
+@anchor{references/CommandReference cmdoption-ghdl-syn-binding}@anchor{10f}
+@deffn {Option} @w{-}@w{-}syn@w{-}binding
+@end deffn
+
+Use synthesizer rules for component binding. During elaboration, if a component is not bound to an entity using VHDL LRM rules, try to find in any known library an entity whose name is the same as the component name.
+
+This rule is known as synthesizer rule.
+
+There are two key points: normal VHDL LRM rules are tried first and entities are searched only in known library. A known library is a library which has been named in your design.
+
+This option is only useful during elaboration.
+
+@geindex ghdl command line option; --GHDL1<=COMMAND>
+@anchor{references/CommandReference cmdoption-ghdl-ghdl1}@anchor{110}
+@deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
+@end deffn
+
+Use @code{COMMAND} as the command name for the compiler. If @code{COMMAND} is not a path, then it is searched in the path.
+
+@geindex ghdl command line option; --AS<=COMMAND>
+@anchor{references/CommandReference cmdoption-ghdl-as}@anchor{111}
+@deffn {Option} @w{-}@w{-}AS<=COMMAND>
+@end deffn
+
+Use @code{COMMAND} as the command name for the assembler. If @code{COMMAND} is not a path, then it is searched in the path. The default is @code{as}.
+
+@geindex ghdl command line option; --LINK<=COMMAND>
+@anchor{references/CommandReference id1}@anchor{112}
+@deffn {Option} @w{-}@w{-}LINK<=COMMAND>
+@end deffn
+
+Use @code{COMMAND} as the linker driver. If @code{COMMAND} is not a path, then it is searched in the path. The default is @code{gcc}.
+
+@node Passing options to other programs,,Options<2>,Command Reference
+@anchor{references/CommandReference passing-options-to-other-programs}@anchor{113}
+@section Passing options to other programs
+
+
+@cartouche
+@quotation Warning
+These options are only available with GCC/LLVM.
+@end quotation
+@end cartouche
+
+For many commands, GHDL acts as a driver: it invokes programs to perform the command. You can pass arbitrary options to these programs.
+
+Both the compiler and the linker are in fact GCC programs. See the GCC manual for details on GCC options.
+
+@geindex ghdl command line option; -Wc@comma{}<OPTION>
+@anchor{references/CommandReference cmdoption-ghdl-wc-option}@anchor{114}
+@deffn {Option} @w{-}Wc,<OPTION>
+@end deffn
+
+Pass @cite{OPTION} as an option to the compiler.
+
+@geindex ghdl command line option; -Wa@comma{}<OPTION>
+@anchor{references/CommandReference cmdoption-ghdl-wa-option}@anchor{115}
+@deffn {Option} @w{-}Wa,<OPTION>
+@end deffn
+
+Pass @cite{OPTION} as an option to the assembler.
+
+@geindex ghdl command line option; -Wl@comma{}<OPTION>
+@anchor{references/CommandReference cmdoption-ghdl-wl-option}@anchor{116}
+@deffn {Option} @w{-}Wl,<OPTION>
+@end deffn
+
+Pass @cite{OPTION} as an option to the linker.
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Coding Style,Implementation of VHDL,Command Reference,Top
+@anchor{references/CodingStyle coding-style}@anchor{117}@anchor{references/CodingStyle doc}@anchor{118}@anchor{references/CodingStyle ref-style}@anchor{119}
+@chapter Coding Style
+
+
+Ada subset: use only a simple (VHDL like) subset of Ada: no tasking, no
+controlled types… VHDL users should easily understand that subset.
+Allowed Ada95 features: the standard library, child packages.
+Use assertions.
+
+We try to follow the ‘GNU Coding Standards’ when possible: comments before
+declarations, two spaces at end of sentences, finish sentences with a dot.
+But: 3 spaces for indentation.
+
+No trailing spaces, not TAB (HT).
+
+Subprograms must have a comment before to describe it, like:
+
+@example
+-- Analyze the concurrent statements of PARENT.
+procedure Sem_Concurrent_Statement_Chain (Parent : Iir);
+@end example
+
+The line before the comment must be a blank line (unless this is the first
+declaration). Don’t repeat the comment before the subprogram body.
+
+
+@itemize *
+
+@item
+For subprograms:
+
+
+@enumerate
+
+@item
+Declare on one line when possible:
+
+@example
+function Translate_Static_Aggregate (Aggr : Iir) return O_Cnode
+@end example
+
+@item
+If not possible, put the return on the next line:
+
+@example
+function Translate_Static_String (Str_Type : Iir; Str_Ident : Name_Id)
+ return O_Cnode
+@end example
+
+@item
+If not possible, put parameters and return on the next line:
+
+@example
+function Create_String_Literal_Var_Inner
+ (Str : Iir; Element_Type : Iir; Str_Type : O_Tnode) return Var_Type
+@end example
+
+@item
+If not possible, return on the next line:
+
+@example
+function Translate_Shortcut_Operator
+ (Imp : Iir_Implicit_Function_Declaration; Left, Right : Iir)
+ return O_Enode
+@end example
+
+@item
+If not possible, one parameter per line, just after subprogram name:
+
+@example
+procedure Translate_Static_Aggregate_1 (List : in out O_Array_Aggr_List;
+ Aggr : Iir;
+ Info : Iir;
+ El_Type : Iir)
+@end example
+
+@item
+If not possible, add a return after subprogram name:
+
+@example
+function Translate_Predefined_TF_Array_Element
+ (Op : Predefined_Boolean_Logical;
+ Left, Right : Iir;
+ Res_Type : Iir;
+ Loc : Iir)
+ return O_Enode
+@end example
+@end enumerate
+
+
+@enumerate 7
+
+@item
+If not possible, ask yourself what is wrong! Shorten a name.
+@end enumerate
+
+@item
+Rule for the ‘is’: one a new line only if the declarative part is not empty:
+
+@quotation
+
+@example
+procedure Translate_Assign (Target : Mnode; Expr : Iir; Target_Type : Iir)
+is
+ Val : O_Enode;
+begin
+@end example
+@end quotation
+
+vs.
+
+@quotation
+
+@example
+function Translate_Static_Range_Dir (Expr : Iir) return O_Cnode is
+begin
+@end example
+@end quotation
+
+If the parametere line is too long with the ‘is’, put in on a separate line:
+
+@quotation
+
+@example
+procedure Predeclare_Scope_Type
+ (Scope : in out Var_Scope_Type; Name : O_Ident) is
+@end example
+@end quotation
+
+@item
+Generic instantiation: put the generic actual part on a new line:
+
+@quotation
+
+@example
+procedure Free is new Ada.Unchecked_Deallocation
+ (Action_List, Action_List_Acc);
+@end example
+@end quotation
+
+@item
+For if/then statement:
+
+
+@enumerate
+
+@item
+‘then’ on the same line:
+
+@example
+if Get_Expr_Staticness (Decl) = Locally then
+@end example
+
+@item
+If not possible, ‘then’ is alone on its line aligned with the ‘if’:
+
+@example
+if Expr = Null_Iir
+ or else Get_Kind (Expr) = Iir_Kind_Overflow_Literal
+then
+@end example
+
+@item
+For a multiline condition, ‘or else’ and ‘and then’ should start lines.
+@end enumerate
+
+@item
+‘Local’ variable declaration:
+Do not initialize variables, constants must be declared before variables:
+
+@quotation
+
+@example
+is
+ N_Info : constant Iir := Get_Sub_Aggregate_Info (Info);
+ Assoc : Iir;
+ Sub : Iir;
+begin
+@end example
+@end quotation
+
+If the initialization expression has a side effect (such as allocation), do
+not use a constant.
+@end itemize
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Implementation of VHDL,Implementation of VITAL,Coding Style,Top
+@anchor{references/ImplementationOfVHDL ref-implvhdl}@anchor{10}@anchor{references/ImplementationOfVHDL doc}@anchor{11a}@anchor{references/ImplementationOfVHDL implementation-of-vhdl}@anchor{11b}
+@chapter Implementation of VHDL
This chapter describes several implementation defined aspect of VHDL in GHDL.
@@ -2597,8 +5217,8 @@ This chapter describes several implementation defined aspect of VHDL in GHDL.
@end menu
-@node VHDL standards,PSL implementation,,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL vhdl-standards}@anchor{29}@anchor{GHDL_implementation_of_VHDL id1}@anchor{94}
+@node VHDL standards,PSL implementation,,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL vhdl-standards}@anchor{50}@anchor{references/ImplementationOfVHDL id1}@anchor{11c}
@section VHDL standards
@@ -2625,7 +5245,7 @@ This chapter describes several implementation defined aspect of VHDL in GHDL.
@geindex v08
This is very unfortunate, but there are many versions of the VHDL
-language, and they aren't backward compatible.
+language, and they aren’t backward compatible.
The VHDL language was first standardized in 1987 by IEEE as IEEE 1076-1987, and
is commonly referred as VHDL-87. This is certainly the most important version,
@@ -2668,10 +5288,10 @@ before).
Minors corrections were added by the 2002 revision of the VHDL standard. This
revision is not fully backward compatible with VHDL-00 since, for example,
-the value of the @cite{'instance_name} attribute has slightly changed.
+the value of the @cite{‘instance_name} attribute has slightly changed.
The latest version is 2008. Many features have been added, and GHDL
-doesn't implement all of them.
+doesn’t implement all of them.
You can select the VHDL standard expected by GHDL with the
@code{--std=VER} option, where @code{VER} is one of the left column of the
@@ -2721,8 +5341,8 @@ The 93, 93c, 00 and 02 standards are considered as compatible: you can
elaborate a design mixing these standards. However, 87, 93 and 08 are
not compatible.
-@node PSL implementation,Source representation,VHDL standards,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL id2}@anchor{95}@anchor{GHDL_implementation_of_VHDL psl-implementation}@anchor{2f}
+@node PSL implementation,Source representation,VHDL standards,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL id2}@anchor{11d}@anchor{references/ImplementationOfVHDL psl-implementation}@anchor{57}
@section PSL implementation
@@ -2740,7 +5360,7 @@ To continue a PSL statement on the next line, just start a new comment.
A PSL statement is considered as a process. So it is not allowed within
a process.
-All PSL assertions must be clocked (GHDL doesn't support unclocked assertion).
+All PSL assertions must be clocked (GHDL doesn’t support unclocked assertion).
Furthermore only one clock per assertion is allowed.
You can either use a default clock like this:
@@ -2761,8 +5381,8 @@ Of course only the simple subset of PSL is allowed.
Currently the built-in functions are not implemented.
-@node Source representation,Library database,PSL implementation,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL source-representation}@anchor{96}
+@node Source representation,Library database,PSL implementation,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL source-representation}@anchor{11e}
@section Source representation
@@ -2787,8 +5407,8 @@ needed (for example, an entity is re-read when one of its architecture is
analyzed). Therefore, if you delete or modify a source file of a unit
analyzed, GHDL will refuse to use it.
-@node Library database,Top entity,Source representation,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL library-database}@anchor{97}@anchor{GHDL_implementation_of_VHDL id3}@anchor{98}
+@node Library database,Top entity,Source representation,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL library-database}@anchor{11f}@anchor{references/ImplementationOfVHDL id3}@anchor{120}
@section Library database
@@ -2801,14 +5421,14 @@ library files. The name of these files is @code{NAME-objVER.cf}, where
@cite{NAME} is the name of the library, and @cite{VER} the VHDL version (87,
93 or 08) used to analyze the design units.
-You don't have to know how to read a library file. You can display it
+You don’t have to know how to read a library file. You can display it
using the @emph{-d} of @cite{ghdl}. The file contains the name of the
design units, as well as the location and the dependencies.
The format may change with the next version of GHDL.
-@node Top entity,Using vendor libraries,Library database,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL top-entity}@anchor{16}@anchor{GHDL_implementation_of_VHDL id4}@anchor{99}
+@node Top entity,Using vendor libraries,Library database,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL top-entity}@anchor{38}@anchor{references/ImplementationOfVHDL id4}@anchor{121}
@section Top entity
@@ -2826,8 +5446,8 @@ default value;
The ports type must be constrained.
@end itemize
-@node Using vendor libraries,Interfacing to other languages,Top entity,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL using-vendor-libraries}@anchor{9a}
+@node Using vendor libraries,Interfacing to other languages,Top entity,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL using-vendor-libraries}@anchor{122}
@section Using vendor libraries
@@ -2837,11 +5457,11 @@ However, some problems have been encountered.
GHDL follows the VHDL LRM (the manual which defines VHDL) more
strictly than other VHDL tools. You could try to relax the
-restrictions by using the @code{--std=93c}, @ref{2c,,-fexplicit},
-@ref{2d,,-frelaxed-rules} and @code{--warn-no-vital-generic}.
+restrictions by using the @code{--std=93c}, @code{-fexplicit},
+@code{-frelaxed-rules} and @code{--warn-no-vital-generic}.
-@node Interfacing to other languages,,Using vendor libraries,GHDL implementation of VHDL
-@anchor{GHDL_implementation_of_VHDL interfacing-to-other-languages}@anchor{9b}
+@node Interfacing to other languages,,Using vendor libraries,Implementation of VHDL
+@anchor{references/ImplementationOfVHDL interfacing-to-other-languages}@anchor{123}
@section Interfacing to other languages
@@ -2871,7 +5491,7 @@ You can define a subprogram in a foreign language (such as @cite{C} or
@end menu
@node Foreign declarations,Restrictions on foreign declarations,,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL foreign-declarations}@anchor{9c}
+@anchor{references/ImplementationOfVHDL foreign-declarations}@anchor{124}
@subsection Foreign declarations
@@ -2903,14 +5523,14 @@ subprogram and must be after it. This is a general rule for specifications.
The value of the specification must be a locally static string.
Even when a subprogram is foreign, its body must be present. However, since
-it won't be called, you can made it empty or simply but an assertion.
+it won’t be called, you can made it empty or simply but an assertion.
The value of the attribute must start with @code{VHPIDIRECT} (an
upper-case keyword followed by one or more blanks). The linkage name of the
subprogram follows.
@node Restrictions on foreign declarations,Linking with foreign object files,Foreign declarations,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL restrictions-on-foreign-declarations}@anchor{9d}@anchor{GHDL_implementation_of_VHDL id5}@anchor{9e}
+@anchor{references/ImplementationOfVHDL restrictions-on-foreign-declarations}@anchor{125}@anchor{references/ImplementationOfVHDL id5}@anchor{126}
@subsection Restrictions on foreign declarations
@@ -2947,7 +5567,7 @@ Non-composite types are passed by value. For the @cite{in} mode, this
corresponds to the @cite{C} or @cite{Ada} mechanism. The @cite{out} and
@cite{inout} interfaces of non-composite types are gathered in a record
and this record is passed by reference as the first argument to the
-subprogram. As a consequence, you shouldn't use @cite{in} and
+subprogram. As a consequence, you shouldn’t use @cite{in} and
@cite{inout} modes in foreign subprograms, since they are not portable.
Records are represented like a @cite{C} structure and are passed by reference
@@ -2965,12 +5585,12 @@ Files are represented by a 32 bits word, which corresponds to an index
in a table.
@node Linking with foreign object files,Starting a simulation from a foreign program,Restrictions on foreign declarations,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL id6}@anchor{9f}@anchor{GHDL_implementation_of_VHDL linking-with-foreign-object-files}@anchor{a0}
+@anchor{references/ImplementationOfVHDL id6}@anchor{127}@anchor{references/ImplementationOfVHDL linking-with-foreign-object-files}@anchor{128}
@subsection Linking with foreign object files
You may add additional files or options during the link using the
-@emph{-Wl,} of @cite{GHDL}, as described in @ref{15,,Elaboration command}.
+@emph{-Wl,} of @cite{GHDL}, as described in Elaboration_command.
For example:
@example
@@ -2983,7 +5603,7 @@ library.
Note the @code{c} library is always linked with an executable.
@node Starting a simulation from a foreign program,Linking with Ada,Linking with foreign object files,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL id7}@anchor{a1}@anchor{GHDL_implementation_of_VHDL starting-a-simulation-from-a-foreign-program}@anchor{a2}
+@anchor{references/ImplementationOfVHDL id7}@anchor{129}@anchor{references/ImplementationOfVHDL starting-a-simulation-from-a-foreign-program}@anchor{12a}
@subsection Starting a simulation from a foreign program
@@ -3010,11 +5630,11 @@ This function must be called once, and returns 0 at the end of the simulation.
In case of failure, this function does not return. This has to be fixed.
@node Linking with Ada,Using GRT from Ada,Starting a simulation from a foreign program,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL linking-with-ada}@anchor{a3}@anchor{GHDL_implementation_of_VHDL id8}@anchor{a4}
+@anchor{references/ImplementationOfVHDL linking-with-ada}@anchor{12b}@anchor{references/ImplementationOfVHDL id8}@anchor{12c}
@subsection Linking with Ada
-As explained previously in @ref{a2,,Starting a simulation from a foreign program},
+As explained previously in @ref{12a,,Starting a simulation from a foreign program},
you can start a simulation from an @cite{Ada} program. However the build
process is not trivial: you have to elaborate your @cite{Ada} program and your
@cite{VHDL} design.
@@ -3041,7 +5661,7 @@ $ gnatmake my_prog -largs `ghdl --list-link design`
@end example
@node Using GRT from Ada,,Linking with Ada,Interfacing to other languages
-@anchor{GHDL_implementation_of_VHDL using-grt-from-ada}@anchor{a5}
+@anchor{references/ImplementationOfVHDL using-grt-from-ada}@anchor{12d}
@subsection Using GRT from Ada
@@ -3070,9 +5690,9 @@ runtime library and want to avoid this pragma. For this, reset the
$ make GRT_PRAGMA_FLAG= grt-all
@end example
-Since @cite{GRT} is a self-contained library, you don't want
+Since @cite{GRT} is a self-contained library, you don’t want
@cite{gnatlink} to fetch individual object files (furthermore this
-doesn't always work due to tricks used in @cite{GRT}). For this,
+doesn’t always work due to tricks used in @cite{GRT}). For this,
remove all the object files and make the @code{.ali} files read-only.
@example
@@ -3140,9 +5760,20 @@ Status is 0
Root instance name: counter
@end example
-@node GHDL implementation of VITAL,Flaws and bugs report,GHDL implementation of VHDL,Top
-@anchor{GHDL_implementation_of_VITAL ghdl-implementation-of-vital}@anchor{a6}@anchor{GHDL_implementation_of_VITAL doc}@anchor{a7}
-@chapter GHDL implementation of VITAL
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Implementation of VITAL,Roadmap | Future Improvements,Implementation of VHDL,Top
+@anchor{references/ImplementationOfVITAL doc}@anchor{12e}@anchor{references/ImplementationOfVITAL ref-implvital}@anchor{11}@anchor{references/ImplementationOfVITAL implementation-of-vital}@anchor{12f}
+@chapter Implementation of VITAL
@geindex VITAL
@@ -3162,8 +5793,8 @@ really in a preliminary stage. Do not expect too much of it as now.
@end menu
-@node VITAL packages,VHDL restrictions for VITAL,,GHDL implementation of VITAL
-@anchor{GHDL_implementation_of_VITAL vital-packages}@anchor{2b}@anchor{GHDL_implementation_of_VITAL id1}@anchor{a8}
+@node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
+@anchor{references/ImplementationOfVITAL vital-packages}@anchor{52}@anchor{references/ImplementationOfVITAL id1}@anchor{130}
@section VITAL packages
@@ -3180,8 +5811,8 @@ The VITAL 2000 packages were slightly modified so that they conform to
the VHDL 1993 standard (a few functions are made pure and a few one
impure).
-@node VHDL restrictions for VITAL,Backannotation,VITAL packages,GHDL implementation of VITAL
-@anchor{GHDL_implementation_of_VITAL id2}@anchor{a9}@anchor{GHDL_implementation_of_VITAL vhdl-restrictions-for-vital}@anchor{32}
+@node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
+@anchor{references/ImplementationOfVITAL id2}@anchor{131}@anchor{references/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{5a}
@section VHDL restrictions for VITAL
@@ -3194,17 +5825,17 @@ decorated by the @cite{VITAL_Level0} or @cite{VITAL_Level1} attribute.
These attributes are defined in the @cite{ieee.VITAL_Timing} package.
Currently, only VITAL level 0 checks are implemented. VITAL level 1 models
-can be analyzed, but GHDL doesn't check they comply with the VITAL standard.
+can be analyzed, but GHDL doesn’t check they comply with the VITAL standard.
-Moreover, GHDL doesn't check (yet) that timing generics are not read inside
+Moreover, GHDL doesn’t check (yet) that timing generics are not read inside
a VITAL level 0 model prior the VITAL annotation.
The analysis of a non-conformant VITAL model fails. You can disable the
-checks of VITAL restrictions with the @emph{--no-vital-checks}. Even when
+checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
restrictions are not checked, SDF annotation can be performed.
-@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,GHDL implementation of VITAL
-@anchor{GHDL_implementation_of_VITAL backannotation}@anchor{90}@anchor{GHDL_implementation_of_VITAL id3}@anchor{aa}
+@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
+@anchor{references/ImplementationOfVITAL backannotation}@anchor{95}@anchor{references/ImplementationOfVITAL id3}@anchor{132}
@section Backannotation
@@ -3232,8 +5863,8 @@ Currently, only timing constraints applying on a timing generic of type
just a proof of concept. Features will be added with the following GHDL
release.
-@node Negative constraint calculation,,Backannotation,GHDL implementation of VITAL
-@anchor{GHDL_implementation_of_VITAL negative-constraint-calculation}@anchor{ab}
+@node Negative constraint calculation,,Backannotation,Implementation of VITAL
+@anchor{references/ImplementationOfVITAL negative-constraint-calculation}@anchor{133}
@section Negative constraint calculation
@@ -3244,191 +5875,311 @@ standard and should occur after backannotation.
GHDL does not do negative constraint calculation. It fails to handle models
with negative constraint. I hope to be able to add this phase soon.
-@node Flaws and bugs report,Copyrights,GHDL implementation of VITAL,Top
-@anchor{Flaws_and_bugs_report doc}@anchor{ac}@anchor{Flaws_and_bugs_report flaws-and-bugs-report}@anchor{ad}
-@chapter Flaws and bugs report
+@c # preload commonly known graphical characters like (c)
+@c This data file has been placed in the public domain.
-Despite all the testing and already reported issues, you can find bugs
-or propose enhancements.
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
-@quotation
-@anchor{Flaws_and_bugs_report reporting-bugs}@anchor{8b}
+@c # define a hard kine break for HTML
+
+@node Roadmap | Future Improvements,Meta,Implementation of VITAL,Top
+@anchor{appendix/Roadmap doc}@anchor{134}@anchor{appendix/Roadmap change-roadmap}@anchor{135}@anchor{appendix/Roadmap roadmap-future-improvements}@anchor{136}
+@chapter Roadmap | Future Improvements
+
+
+I have several axes for @cite{GHDL} improvements:
+
+
+@itemize *
+
+@item
+Documentation.
+
+@item
+Better diagnostics messages (warning and error).
+
+@item
+Full support of VHDL-2008.
+
+@item
+Optimization (simulation speed).
+
+@item
+Graphical tools (to see waves and to debug)
+
+@item
+Style checks
+
+@item
+VITAL acceleration
+@end itemize
+
+@cartouche
+@quotation Todo
+Under investigation on how to build that beast.
@end quotation
+@end cartouche
+
+@ref{137,,original entry}
+
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@node Meta,Index<2>,Roadmap | Future Improvements,Top
+@anchor{appendix/Meta meta}@anchor{138}@anchor{appendix/Meta doc}@anchor{139}@anchor{appendix/Meta change-roadmap}@anchor{13a}
+@chapter Meta
+
@menu
-* Reporting bugs::
-* Future improvements::
+* General guidelines to edit the documentation::
+* Guidelines to edit section ‘Building’::
+* Documentation configuration::
+* CSS::
+* Dist::
@end menu
-@node Reporting bugs,Future improvements,,Flaws and bugs report
-@anchor{Flaws_and_bugs_report id1}@anchor{ae}
-@section Reporting bugs
+@node General guidelines to edit the documentation,Guidelines to edit section ‘Building’,,Meta
+@anchor{appendix/Meta general-guidelines-to-edit-the-documentation}@anchor{13b}
+@section General guidelines to edit the documentation
-In order to improve GHDL, we welcome bugs report and suggestions for
-any aspect of GHDL. Please create an issue on
-@indicateurl{https://github.com/tgingold/ghdl/issues}
+@quotation
-If the compiler crashes, this is a bug. Reliable tools never crash.
-If your compiled VHDL executable crashes, this may be a bug at
-runtime or the code produced may be wrong. However, since VHDL
-has a notion of pointers, an erroneous VHDL program (using invalid
-pointers for example) may crash.
+@enumerate
-If the compiler emits an error message for a perfectly valid input or
-does not emit an error message for an invalid input, this may be a bug.
-Please send the input file and what you expected. If you know the LRM
-well enough, please specify the paragraph which has not been well
-implemented. If you don't know the LRM, maybe your bug report will be
-rejected simply because there is no bug. In the latter case, it may be
-difficult to discuss the issue; and comparisons with other VHDL tools
-is not a very strong argument.
+@item
+It’s better for version control systems and diff tools to break lines at a sensible number of characters. Long lines appear as one diff. Also merging is more complex because merges are line based. Long unbreakable items may be longer (links, refs, …). We chose to use 160 chars.
-If a compiler message is not clear enough for you, please tell me. The
-error messages can be improved, but I have not enough experience with
-them.
+@item
+Please indent all directive content by 3 spaces (not 2, and no tabs).
-If you have found a mistake in the manual, please send a comment. If
-you have not understood some parts of this manual, please tell me.
-English is not my mother tongue, so this manual may not be well-written.
-Again, rewriting part of it is a good way to improve it.
+@item
+Please use @code{*} as an itemize character, since @code{-} and @code{+} are supported by docutils, but not officially supported by Sphinx`.
-If you send a @cite{VHDL} file producing a bug, it is a good idea to try
-to make it as short as possible. It is also a good idea to make it
-looking like a test: write a comment which explains whether the file
-should compile, and if yes, whether or not it should run successfully.
-In the latter case, an assert statement should finish the test; the
-severity level note indicates success, while a severity level failure
-indicates failure.
+@item
+Please underline all headlines with at least as many characters as the headline is long. Following the Python pattern for headline the levels are:
-For bug reports, please include enough information for the maintainers to
-reproduce the problem. This includes:
+@example
+############
+************ (sometimes skipped in small documents)
+============
+-------------------
+‘’’’’’’’’’’’’’’’’’’’’’’’
+@end example
+@item
+It’s not required to write
-@itemize *
+@example
+:samp:`code`
+@end example
+
+The default role for
+
+@quotation
+
+@example
+`@w{`}…`@w{`}
+@end example
+@end quotation
+
+is samp. @code{:samp:} is only required when you want to write italic text in code text.
+
+@quotation
+
+@quotation
+@end quotation
+
+@code{print 1+@emph{variable}}
+@end quotation
+
+Now, variable becomes italic.
+
+Please simplify all usages of @code{…`to `}…`@w{`} for readability. Here are the regular expressions for an editor like Notepad++:
+
+
+@itemize -
@item
-the version of @cite{GHDL} (you can get it with @code{ghdl --version}).
+Search pattern:: @code{(.+?)}
@item
-the operating system
+Replace pattern:: @code{\1}
+@end itemize
@item
-whether you have built @cite{GHDL} from sources or used the binary
-distribution.
+Each backend has one folder and each platform/compiler has one file. Please note that page headlines are different from ToC headline:
+
+@example
+.. toctree::
+ :hidden:
+
+ ToC entry <file1>
+ file2
+@end example
@item
-the content of the input files
+A documentation should not use “you”, “we”, …, because it’s not an interactive conversation or informal letter. It’s like a thesis, everything is structured and formal. However, to make it more friendly to newcomers, we agree to allow informal language in section ‘@ref{d,,Quick Start Guide}’.
@item
-a description of the problem and samples of any erroneous input
+Please keep errors low.
+@end enumerate
+@end quotation
+
+@node Guidelines to edit section ‘Building’,Documentation configuration,General guidelines to edit the documentation,Meta
+@anchor{appendix/Meta guidelines-to-edit-section-building}@anchor{13c}
+@section Guidelines to edit section ‘Building’
+
+
+I prefer a text block, which explains how a compilation works, what I can configure for that backend, etc. After that, I prefer a code block with e.g. bash instructions on how to compile a backend. A list of instructions with embedded bash lines is not helpful. An experienced, as well as novice user, would like to copy a set of instructions into the shell. But it should be stated what these instructions will do. Complex flows like for GCC, can be split into multiple shell code blocks. Moreover, I find it essential, to demonstrate when and where to change directories.
+
+We would like to see a list like
+
+
+@itemize *
@item
-anything else that you think would be helpful.
+gcc (Gnu Compiler Collection)
+
+@item
+gcc-gnat (Ada compiler for GCC)
+
+@item
+llvm-del (LLVM development package)
+
+@item
+…
@end itemize
-@node Future improvements,,Reporting bugs,Flaws and bugs report
-@anchor{Flaws_and_bugs_report future-improvements}@anchor{af}
-@section Future improvements
+The goal is to also explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if the have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hiting enter.
+@node Documentation configuration,CSS,Guidelines to edit section ‘Building’,Meta
+@anchor{appendix/Meta documentation-configuration}@anchor{13d}
+@section Documentation configuration
-I have several axes for @cite{GHDL} improvements:
@itemize *
@item
-Documentation.
+Python snippet for Sphinx’s @cite{conf.py} to extract the current version number from Git (latest tag name). [#200@footnote{https://github.com/tgingold/ghdl/issues/200}, #221@footnote{https://github.com/tgingold/ghdl/issues/221}]
@item
-Better diagnostics messages (warning and error).
+Reference @code{genindex.html} from the navigation bar. [#200@footnote{https://github.com/tgingold/ghdl/issues/200}]
@item
-Full support of VHDL-2008.
+Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#200@footnote{https://github.com/tgingold/ghdl/issues/200}]
@item
-Optimization (simulation speed).
+
+@table @asis
+
+@item Intersphinx files [#200@footnote{https://github.com/tgingold/ghdl/issues/200}]
+
+
+@itemize *
@item
-Graphical tools (to see waves and to debug)
+To decompress the inventory file: @cite{curl -s http://ghdl.readthedocs.io/en/latest/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
@item
-Style checks
+External ref and link to section:
+
+@example
+:ref:`GHDL Roadmap <ghdl:CHANGE:Roadmap>`
+@end example
@item
-VITAL acceleration
+External ref to option (no link):
+
+@example
+:ghdl:option:`--ieee`
+:option:`ghdl:--ieee`
+@end example
+@end itemize
+@end table
@end itemize
-@node Copyrights,Indices and tables,Flaws and bugs report,Top
-@anchor{Copyrights copyrights}@anchor{b0}@anchor{Copyrights doc}@anchor{b1}
-@chapter Copyrights
+@node CSS,Dist,Documentation configuration,Meta
+@anchor{appendix/Meta css}@anchor{13e}
+@section CSS
-The GHDL front-end, the @code{std.textio} package and the runtime
-library (@code{grt}) are copyrighted Tristan Gingold, come with @strong{absolutely
-no warranty}, and are distributed under the conditions of the General
-Public License.
-The @code{ieee.numeric_bit} and @code{ieee.numeric_std} packages are
-copyrighted by the IEEE. The source files may be distributed without
-change, except as permitted by the standard.
+@itemize *
-This source file may not be
-sold or distributed for profit. See the source file and the IEEE 1076.3
-standard for more information.
+@item
+The indentation of the elements in the side menu have been modified. They are fixed por levels 1, 2 and 3 (#294@footnote{https://github.com/tgingold/ghdl/pull/294#issuecomment-281555760}) and 4 (later).
-The @code{ieee.std_logic_1164}, @code{ieee.Math_Real} and
-@code{ieee.Math_Complex} packages are copyrighted by the IEEE. See
-source files for more information.
+@item
+The RTD menu (bottom-left) has been modified (#294@footnote{https://github.com/tgingold/ghdl/pull/294#issuecomment-281513494}):
-The @code{ieee.VITAL_Primitives}, @code{ieee.VITAL_Timing} and
-@code{ieee.VITAL_Memory} packages are copyrighted by IEEE. See source
-file and the IEEE 1076.4 standards for more information.
+@quotation
-The packages @code{std_logic_arith},
-@code{std_logic_signed}, @code{std_logic_unsigned} and
-@code{std_logic_textio} contained in the @code{synopsys} directory are
-copyrighted by Synopsys, Inc. The source files may be used and
-distributed without restriction provided that the copyright statements
-are not removed from the files and that any derivative work contains the
-copyright notice. See the source files for more information.
-The package @code{std_logic_arith} contained in the @code{mentor}
-directory is copyrighted by Mentor Graphics. The source files may be
-distributed in whole without restriction provided that the copyright
-statement is not removed from the file and that any derivative work
-contains this copyright notice. See the source files for more information.
+@itemize *
-As a consequence of the runtime copyright, you may not be allowed to
-distribute an executable produced by @cite{GHDL} without the VHDL
-sources. To my mind, this is not a real restriction, since there is no
-points in distributing VHDL executable. Please, send a comment
-(@ref{8b,,Reporting bugs}) if you don't like this policy.
+@item
+No headlines are shown. It is not possible to remove only one of them with CSS only (JS would be required). However, because the content in most of the lines is self-explained, it is preferred not to show any.
-@node Indices and tables,Index,Copyrights,Top
-@anchor{index indices-and-tables}@anchor{b2}
-@unnumbered Indices and tables
+@item
+The Search box is removed.
+@end itemize
+@end quotation
+@end itemize
+@node Dist,,CSS,Meta
+@anchor{appendix/Meta dist}@anchor{13f}
+@section Dist
-@itemize *
-@item
-genindex
+@itemize *
@item
-search
+Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like @code{array[1]}, are not supported. Therefore, build scripts in @cite{dist/linux} should not use those functionalities unless they are sourced in a @cite{bash} shell. That is, @code{tavis-ci.sh} uses arrays, since it is sourced in the Travis CI machine. But @code{docker-buildtest.sh} and @code{buildtest.sh} do not use any. The same applies to the scripts in @cite{testsuite}.
@end itemize
-@node Index,,Indices and tables,Top
+@c # preload commonly known graphical characters like (c)
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard kine break for HTML
+
+@c # This file is a placeholder and will be replaced
+
+@node Index<2>,Index,Meta,Top
+@anchor{genindex index}@anchor{140}@anchor{genindex doc}@anchor{141}
+@chapter Index
+
+
+@node Index,,Index<2>,Top
@unnumbered Index
@printindex ge
+@anchor{137}@w{ }
+@anchor{building/gcc/Windows-MinGW-GNAT index-0}@w{ }
@c %**end of body
@bye
diff --git a/doc/index.rst b/doc/index.rst
index 9cf235fe1..5b5e885fc 100644
--- a/doc/index.rst
+++ b/doc/index.rst
@@ -1,6 +1,8 @@
.. include:: shields.inc
-|SHIELD:gh-logo| |SHIELD:gitter| |SHIELD:code-lic| |SHIELD:doc-lic| |br| |SHIELD:travis-ci| |SHIELD:appveyor| |SHIELD:release|
+.. only:: html
+
+ |SHIELD:gh-logo| |SHIELD:gitter| |SHIELD:code-lic| |SHIELD:doc-lic| |br| |SHIELD:travis-ci| |SHIELD:appveyor| |SHIELD:release|
------------------------------------
diff --git a/doc/shields.inc b/doc/shields.inc
index 863d2b740..657d89b0e 100644
--- a/doc/shields.inc
+++ b/doc/shields.inc
@@ -7,7 +7,8 @@
# Beware that `?logo=data:image/png;base64,` must also be converted to
# percent encoding so that the URL is properly parsed.
-.. |SHIELD:travis-ci| image:: https://img.shields.io/travis/tgingold/ghdl/master.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAIP0lEQVR42qVXaUyUWRaFycyP%2BTVLemaSSfrPJN3JZJKOPyY6uBLTQgGlUMVeFHuxFaioKGpcAFFQUaOigAsmo7ghikZtxZVFCCKgqOi4ICoqqLjghqjcOeem%2B5sQFZnuL3l5VV%2B9d%2B9595577iuX%2F%2FcZNWrUX7y8vMyJiYmbpk6d2pKamto5bdq0LnxuT05OPhgUFOQcOXLkP35a7%2Bvr6%2FKLnmXLlun87t27X02YMKFkzpw5va2trXL37l158OCBPHz4UEdXV5fcu3dPbt26Jdu3b%2B%2Fz8%2FNrM5vNf%2BNeAPxlIHAi06xZs7rOnj0rL168kPb2dnn8%2BLE8evToo0EgHPfv35e1a9cKQOT9LKc2m03nyMhIv%2FXr18uzZ8%2F0pDU1NXLz5k0xTzSLycs0cHibBClhBOTJkycKqKmpSZCyGkTv10N27unpqfPw4cNDSkpK5OrVqzSmAHbu3CnXr1%2BXhIQECQkNkeCQYHEkxAPQRHEmJ0taWposWbJEOjs7dX1bW5s0NjYyEtW0OXny5KGBMJlMf1ixYsUHOqYRGmPYi4qK5PLly5KUlCSLFi0S5FqCAaSutk6io6MlMzNTtmzZIkwX9zASdXV10tLSQhALaRtEHdy5iLhYrdbbP53gxo0baqy7u1tWrlxJAErA7sfdYrFYNBINZxv0XUdHh5SXl8uhQ4eMPbW1tfqZqQwODv7rF0%2F%2FPZ6GhoZ%2B5v3AgQNKqqdPnyoBly9fztMxJeSFAeDcuXN8p9Wxf%2F9%2BBfH8%2BXMFcP78eSMlWN%2F8SafDhg0zPqOmG2%2Ffvi137tzRkF%2B6dEny8vLE399fUA1y%2BvRpGtMT%2B1n8JMQWKhUVFQTKiKlzkE7XHjlyRJqbm7lWI3n06NG%2B0aNHfzvAOVDq7Obm9mcIzIbS0lK5cuWKVFVVyerVq2Xv3r2aw5kzZ0pubq6cOnWKNa8njYyKlKRkpyBlPL2yPiUlhfzQVJ04cUI2bdrE1CmI%2Bvp6Wbp06bkRI0ZYBoAA4u9JIIAxGL9jxw5GgeRjCjT8GzdulM2bN2s5btu2TcJsNgmz2yU8IkIBHz58WIm4atUq6enpoS21iagyJbTL1BEYQe8zALi7u%2BdiAxcYg8iZd4a2srJST%2B9wOMTb25tk0gqYiPLjmDRpkkYhJCRE4uPjBeUmBw8e1JJ9%2Ffo108GDGLYJJjw8XAwAY8eOzedLIuZCkoY1Tzbv2rVLEV%2B4cIE5NpSOPCHrORh%2BpoX7GDVqx5kzZ2Tfvn2yZ88eVUVKOG1zMAoRiJoBADnJpEHmiMTh5prqaimHgeLiYs2p0%2BkkETUCXt5eUD8dVDod0A7OjIhGYcGCBVJQUCBlZWVMD21yZjQ1Aj4%2BPv8DMGbMmDCemDJKR%2BPGjRNfhNgeES7hkREML0tIAfiYzWK3h6kA2TBjPUNMkPjdKgmJiRIHdXTEIV0%2B3poWdEqBrGvYs7Oz5dixY0zhfwwAULGvwsLCVMvt4XaJdcRKQlIinahTdEE60FxDyQDOl1Whsrt48WI1Ghpqkxjso3OSkpGaN2%2Be5OfnGwNiRBUlSQnMNqAScEpvi9UiMbGxgj4uqFcl2ty5c2XGjBn6vbCwkGlibbM0WWpaEdAurX0eonhLMbmjJM7JyWFZ0h4JrLYCAwM%2FIJpRsOPqAlTqHPX%2FDXKHU4T2BAYFfsAirQA%2B1HNupNo1NTeR1RzqnJrBCGVkZGiX5MO%2BsBm86evrE9wjpL%2B%2Fn6%2B1R0yfPp0cqfxICRGuDoweEKkxJiaGZaSsfvnypTK8EyLCfK4tLEClPKQ9rqFCsu45U%2FO19gODgmXm7NkQsDICpQ0dGzZsoLBRP%2FrHjx%2F%2Fb5gwnFeDkeunTJnye7J0zZo1zB1DrBtfvXql9ezp4SFubv8Ci09rmeGmQxAsNQWB2xMdajpGurmRI4Zz2KBdKiJ1gNVyLC4uztUFOR6NcJsJBMz%2FHUrwPRWQqnfy5EluplHKL0nIk%2FIdDWl%2Bjx8%2FLu%2Ffv9eajgV33rx5w9Qoy69du8Zy43qt%2B6ysLA7VDcj%2BVj09yuMbzgDBLvhb3AHuV1ZWybp168h8CpPm8O3bt4IOSSlWIwsXLmQFkB%2FMNVlNpSQXWBX8TcO9detW2b17t8yfP5%2BVwshSrlnmjoEXTwWT4moyeda0tl6mkGhIESYC4elY6zCcg%2Fe5MDhPAJZEZYSUgLORd4Cjc%2B4FoBxGjaCQogyWMEVIbYP0f%2FzMXWD8KKvV8i4gIOA8ygcLEzhQQrEwvBgdsBzt%2BSLCeJcRYYulLCvDS3eXwvFSSO4lKF4l0lCB0%2B9CNPORqgpwIq3Fw8PDirT%2F8xOuSUbTgO%2FZ2Yva6uvr0GIb0UrvoSM%2B0ZGenk6RgSL6yAQQc5y7u5ghq%2F4BARJqs1ELlAe9vb1IUTd7B%2FZ3Qaq9nHDugVI3D3YdcwWzv0Y5uqI%2FpOMKTgYbTKYexMXHSaIz6bOD94OJCDfTwT7CywrbMWz24MLbi1R8DdJ%2B5NsQJSxIxeIqCExAVFRU%2B8WLF6WosEiNhIbZ6GRIIzo2WiIiI9gvMEeqPCMFJS6DPUQGyfwNkHZAlhnmtww3DTlTkj%2FliNrPvvHFqFihmC5DfXDyP1nQAZO%2BfFIoXxDb82cdE3gwOiLELuPHxje4c%2Bi1ziZPUzxuPjTyScMcDvCBf1IKCwpBQn90UYfEo2qiYqKVjAGBAc%2B9fXxqQdL0H1V3aBFAGeqMlpnKjmgQD62UTtiy%2FSwWtmYqm5IUXKFeMM%2FsomV2u%2F3vtAEuufysByfgRJX8DshTPU2mPPy7yQBBYzGWgC%2FVkOSXWVmZZHwnSPoD%2FlOmodXSsWFjsOe%2FCAcrQqMD2awAAAAASUVORK5CYII%3D
+.. only:: html
+ .. |SHIELD:travis-ci| image:: https://img.shields.io/travis/tgingold/ghdl/master.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAIP0lEQVR42qVXaUyUWRaFycyP%2BTVLemaSSfrPJN3JZJKOPyY6uBLTQgGlUMVeFHuxFaioKGpcAFFQUaOigAsmo7ghikZtxZVFCCKgqOi4ICoqqLjghqjcOeem%2B5sQFZnuL3l5VV%2B9d%2B9595577iuX%2F%2FcZNWrUX7y8vMyJiYmbpk6d2pKamto5bdq0LnxuT05OPhgUFOQcOXLkP35a7%2Bvr6%2FKLnmXLlun87t27X02YMKFkzpw5va2trXL37l158OCBPHz4UEdXV5fcu3dPbt26Jdu3b%2B%2Fz8%2FNrM5vNf%2BNeAPxlIHAi06xZs7rOnj0rL168kPb2dnn8%2BLE8evToo0EgHPfv35e1a9cKQOT9LKc2m03nyMhIv%2FXr18uzZ8%2F0pDU1NXLz5k0xTzSLycs0cHibBClhBOTJkycKqKmpSZCyGkTv10N27unpqfPw4cNDSkpK5OrVqzSmAHbu3CnXr1%2BXhIQECQkNkeCQYHEkxAPQRHEmJ0taWposWbJEOjs7dX1bW5s0NjYyEtW0OXny5KGBMJlMf1ixYsUHOqYRGmPYi4qK5PLly5KUlCSLFi0S5FqCAaSutk6io6MlMzNTtmzZIkwX9zASdXV10tLSQhALaRtEHdy5iLhYrdbbP53gxo0baqy7u1tWrlxJAErA7sfdYrFYNBINZxv0XUdHh5SXl8uhQ4eMPbW1tfqZqQwODv7rF0%2F%2FPZ6GhoZ%2B5v3AgQNKqqdPnyoBly9fztMxJeSFAeDcuXN8p9Wxf%2F9%2BBfH8%2BXMFcP78eSMlWN%2F8SafDhg0zPqOmG2%2Ffvi137tzRkF%2B6dEny8vLE399fUA1y%2BvRpGtMT%2B1n8JMQWKhUVFQTKiKlzkE7XHjlyRJqbm7lWI3n06NG%2B0aNHfzvAOVDq7Obm9mcIzIbS0lK5cuWKVFVVyerVq2Xv3r2aw5kzZ0pubq6cOnWKNa8njYyKlKRkpyBlPL2yPiUlhfzQVJ04cUI2bdrE1CmI%2Bvp6Wbp06bkRI0ZYBoAA4u9JIIAxGL9jxw5GgeRjCjT8GzdulM2bN2s5btu2TcJsNgmz2yU8IkIBHz58WIm4atUq6enpoS21iagyJbTL1BEYQe8zALi7u%2BdiAxcYg8iZd4a2srJST%2B9wOMTb25tk0gqYiPLjmDRpkkYhJCRE4uPjBeUmBw8e1JJ9%2Ffo108GDGLYJJjw8XAwAY8eOzedLIuZCkoY1Tzbv2rVLEV%2B4cIE5NpSOPCHrORh%2BpoX7GDVqx5kzZ2Tfvn2yZ88eVUVKOG1zMAoRiJoBADnJpEHmiMTh5prqaimHgeLiYs2p0%2BkkETUCXt5eUD8dVDod0A7OjIhGYcGCBVJQUCBlZWVMD21yZjQ1Aj4%2BPv8DMGbMmDCemDJKR%2BPGjRNfhNgeES7hkREML0tIAfiYzWK3h6kA2TBjPUNMkPjdKgmJiRIHdXTEIV0%2B3poWdEqBrGvYs7Oz5dixY0zhfwwAULGvwsLCVMvt4XaJdcRKQlIinahTdEE60FxDyQDOl1Whsrt48WI1Ghpqkxjso3OSkpGaN2%2Be5OfnGwNiRBUlSQnMNqAScEpvi9UiMbGxgj4uqFcl2ty5c2XGjBn6vbCwkGlibbM0WWpaEdAurX0eonhLMbmjJM7JyWFZ0h4JrLYCAwM%2FIJpRsOPqAlTqHPX%2FDXKHU4T2BAYFfsAirQA%2B1HNupNo1NTeR1RzqnJrBCGVkZGiX5MO%2BsBm86evrE9wjpL%2B%2Fn6%2B1R0yfPp0cqfxICRGuDoweEKkxJiaGZaSsfvnypTK8EyLCfK4tLEClPKQ9rqFCsu45U%2FO19gODgmXm7NkQsDICpQ0dGzZsoLBRP%2FrHjx%2F%2Fb5gwnFeDkeunTJnye7J0zZo1zB1DrBtfvXql9ezp4SFubv8Ci09rmeGmQxAsNQWB2xMdajpGurmRI4Zz2KBdKiJ1gNVyLC4uztUFOR6NcJsJBMz%2FHUrwPRWQqnfy5EluplHKL0nIk%2FIdDWl%2Bjx8%2FLu%2Ffv9eajgV33rx5w9Qoy69du8Zy43qt%2B6ysLA7VDcj%2BVj09yuMbzgDBLvhb3AHuV1ZWybp168h8CpPm8O3bt4IOSSlWIwsXLmQFkB%2FMNVlNpSQXWBX8TcO9detW2b17t8yfP5%2BVwshSrlnmjoEXTwWT4moyeda0tl6mkGhIESYC4elY6zCcg%2Fe5MDhPAJZEZYSUgLORd4Cjc%2B4FoBxGjaCQogyWMEVIbYP0f%2FzMXWD8KKvV8i4gIOA8ygcLEzhQQrEwvBgdsBzt%2BSLCeJcRYYulLCvDS3eXwvFSSO4lKF4l0lCB0%2B9CNPORqgpwIq3Fw8PDirT%2F8xOuSUbTgO%2FZ2Yva6uvr0GIb0UrvoSM%2B0ZGenk6RgSL6yAQQc5y7u5ghq%2F4BARJqs1ELlAe9vb1IUTd7B%2FZ3Qaq9nHDugVI3D3YdcwWzv0Y5uqI%2FpOMKTgYbTKYexMXHSaIz6bOD94OJCDfTwT7CywrbMWz24MLbi1R8DdJ%2B5NsQJSxIxeIqCExAVFRU%2B8WLF6WosEiNhIbZ6GRIIzo2WiIiI9gvMEeqPCMFJS6DPUQGyfwNkHZAlhnmtww3DTlTkj%2FliNrPvvHFqFihmC5DfXDyP1nQAZO%2BfFIoXxDb82cdE3gwOiLELuPHxje4c%2Bi1ziZPUzxuPjTyScMcDvCBf1IKCwpBQn90UYfEo2qiYqKVjAGBAc%2B9fXxqQdL0H1V3aBFAGeqMlpnKjmgQD62UTtiy%2FSwWtmYqm5IUXKFeMM%2FsomV2u%2F3vtAEuufysByfgRJX8DshTPU2mPPy7yQBBYzGWgC%2FVkOSXWVmZZHwnSPoD%2FlOmodXSsWFjsOe%2FCAcrQqMD2awAAAAASUVORK5CYII%3D
:target: https://travis-ci.org/tgingold/ghdl
:alt: Build status by Travis-CI
@@ -55,10 +56,12 @@
:target: https://github.com/tgingold/ghdl/graphs/contributors
:alt: Contributors
-.. |SHIELD:github| image:: https://img.shields.io/badge/GitHub-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+.. only:: html
+ .. |SHIELD:github| image:: https://img.shields.io/badge/GitHub-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
:target: https://www.github.com/tgingold/ghdl
:alt: Source Code on GitHub
-.. |SHIELD:gh-logo| image:: https://img.shields.io/badge/-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+.. only:: html
+ .. |SHIELD:gh-logo| image:: https://img.shields.io/badge/-tgingold/ghdl-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
:target: https://www.github.com/tgingold/ghdl
:alt: Source Code on GitHub
diff --git a/doc/shieldswho.inc b/doc/shieldswho.inc
index fae9c50ab..e371c4494 100644
--- a/doc/shieldswho.inc
+++ b/doc/shieldswho.inc
@@ -1,23 +1,29 @@
-.. |SHIELD:gh-poc| image:: https://img.shields.io/badge/-VLSI--EDA/PoC-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+.. only:: html
+ .. |SHIELD:gh-poc| image:: https://img.shields.io/badge/-VLSI--EDA/PoC-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
:target: https://www.github.com/VLSI-EDA/PoC
:alt: Source Code on GitHub
-
-.. |SHIELD:rtd-poc| image:: https://img.shields.io/badge/-poc--library-2980b9.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAABmJLR0QA%2FwD%2FAP%2BgvaeTAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QIWAi8BeOHlMQAAAB1pVFh0Q29tbWVudAAAAAAAQ3JlYXRlZCB3aXRoIEdJTVBkLmUHAAADpklEQVRYw%2B2XT2hcVRTGf%2B%2Fl1TRjpq3GIiFIQ20V3VhshDCCcchRkC78ByKC6MKVIAqu3LgX3boQKSgK4sKFFZSQMxkHS7RohdJorYrYVBtrqR1rZ5p0Ou%2B68JvyHCbTmTQgqAcub96fufd75%2Fu%2B886FtiiVSvQa5XKZK40oe%2BLumFnr9xbgWmAjkABNYAU4B5wxs5W1LFgqlZienu4MQAtvBx4Hbge2AZsF4gJQA04Di8DXwFHgR2AJ%2BB1YFtgh%2FWcTsBW4AajlcrkPCoVCyK6XdAD5BPBil5f4EtgNPKbzM8AfwHmgAcTABo2NQF7HfYVCYV%2F7ZJ0AbNJxAXgLWIiiaCmEMAa8D7yuMRhF0c4Qws3AKHC9FkLZOq3MHAOez9y7LIAY%2BNjMiu6eB8ZCCFuVykg6GAXuCyGUgA%2BBixpphtoBIDazZXd%2FSgB7AhCAXe7%2BLvBQ2zOpANwJvAC8qvT%2FBPwiOgCGgRGgUS6Xp5rNZmvengFsAR4BPgOOA2eB64D7gSEz2%2Bvu88CtwDgwJsds1hzHgSPAyXw%2BH6rV6qqCSlax5oLe%2FoSZ1ebm5obTNN0lAJfCzGbaHBTpemi3dz8AAAal9NfcfXeapom0EYCauz8DvOLuVeAAcAj4QZnC3Yekk9jMXspktmcKdgLvyFbLElgsYLkM9%2FcCdwB3S3QDGa0EoFapVF5uNBp9U1AD3gMc%2BEbVbxvwkd4qBd7QaKV5VJ4HqANVMzu3FgoiCeg5oAjco4mvaZVjdzfgyUw1XAROyvstCsfdPTKzw91KcycAKXCbuB2VpbL0RMDPAvWs6kNN46KeG1DhuapSqeRFQehHhBukg076SMzsiLs%2FrIWGgVuA7cDV0soycAr4ampqKl2LC7pFcPecNPGryu4BM9vfZr0BgaFfF1wuVlQP9soJ3wHfuvsSUBVFOVXCZH5%2B%2Ful6vb6uGRgE3lbpvUmpHwcKogBRcBY4EcfxumcgMrMGUP6rKSpHzWYzJ2Ct%2BZoSZG1ycjKstwb%2BFsViMWRc0FU7rPLp%2FUfjPwXgfwr%2BPRm40Eun02sGkjUA3uPui8CnKki9RKOfQtS6dh44rPoe9IW8EXgUeBA45e6HgP3AQeBoHMe%2FpWmanWsMmFRfcbBXAJ8Ab5rZF60mM9OEtr6Ed6lrfgDYc6mRSNPQZe8519fmdHZ2liRJKBaLHe9nru1QX7hDu6phNTV1dUjHgM%2FN7PuZmRlGRkaYmJi4cvVWKpU17Yzb40%2B0JFzTjEp6egAAAABJRU5ErkJggg%3D%3D
+
+
+.. only:: html
+ .. |SHIELD:rtd-poc| image:: https://img.shields.io/badge/-poc--library-2980b9.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAABmJLR0QA%2FwD%2FAP%2BgvaeTAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QIWAi8BeOHlMQAAAB1pVFh0Q29tbWVudAAAAAAAQ3JlYXRlZCB3aXRoIEdJTVBkLmUHAAADpklEQVRYw%2B2XT2hcVRTGf%2B%2Fl1TRjpq3GIiFIQ20V3VhshDCCcchRkC78ByKC6MKVIAqu3LgX3boQKSgK4sKFFZSQMxkHS7RohdJorYrYVBtrqR1rZ5p0Ou%2B68JvyHCbTmTQgqAcub96fufd75%2Fu%2B886FtiiVSvQa5XKZK40oe%2BLumFnr9xbgWmAjkABNYAU4B5wxs5W1LFgqlZienu4MQAtvBx4Hbge2AZsF4gJQA04Di8DXwFHgR2AJ%2BB1YFtgh%2FWcTsBW4AajlcrkPCoVCyK6XdAD5BPBil5f4EtgNPKbzM8AfwHmgAcTABo2NQF7HfYVCYV%2F7ZJ0AbNJxAXgLWIiiaCmEMAa8D7yuMRhF0c4Qws3AKHC9FkLZOq3MHAOez9y7LIAY%2BNjMiu6eB8ZCCFuVykg6GAXuCyGUgA%2BBixpphtoBIDazZXd%2FSgB7AhCAXe7%2BLvBQ2zOpANwJvAC8qvT%2FBPwiOgCGgRGgUS6Xp5rNZmvengFsAR4BPgOOA2eB64D7gSEz2%2Bvu88CtwDgwJsds1hzHgSPAyXw%2BH6rV6qqCSlax5oLe%2FoSZ1ebm5obTNN0lAJfCzGbaHBTpemi3dz8AAAal9NfcfXeapom0EYCauz8DvOLuVeAAcAj4QZnC3Yekk9jMXspktmcKdgLvyFbLElgsYLkM9%2FcCdwB3S3QDGa0EoFapVF5uNBp9U1AD3gMc%2BEbVbxvwkd4qBd7QaKV5VJ4HqANVMzu3FgoiCeg5oAjco4mvaZVjdzfgyUw1XAROyvstCsfdPTKzw91KcycAKXCbuB2VpbL0RMDPAvWs6kNN46KeG1DhuapSqeRFQehHhBukg076SMzsiLs%2FrIWGgVuA7cDV0soycAr4ampqKl2LC7pFcPecNPGryu4BM9vfZr0BgaFfF1wuVlQP9soJ3wHfuvsSUBVFOVXCZH5%2B%2Ful6vb6uGRgE3lbpvUmpHwcKogBRcBY4EcfxumcgMrMGUP6rKSpHzWYzJ2Ct%2BZoSZG1ycjKstwb%2BFsViMWRc0FU7rPLp%2FUfjPwXgfwr%2BPRm40Eun02sGkjUA3uPui8CnKki9RKOfQtS6dh44rPoe9IW8EXgUeBA45e6HgP3AQeBoHMe%2FpWmanWsMmFRfcbBXAJ8Ab5rZF60mM9OEtr6Ed6lrfgDYc6mRSNPQZe8519fmdHZ2liRJKBaLHe9nru1QX7hDu6phNTV1dUjHgM%2FN7PuZmRlGRkaYmJi4cvVWKpU17Yzb40%2B0JFzTjEp6egAAAABJRU5ErkJggg%3D%3D
:target: http://poc-library.readthedocs.io/en/latest/?badge=latest
:alt: Documentation on ReadTheDocs
-
-.. |SHIELD:gh-vunit| image:: https://img.shields.io/badge/-VUnit/vunit-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
+
+.. only:: html
+ .. |SHIELD:gh-vunit| image:: https://img.shields.io/badge/-VUnit/vunit-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAMAAABEpIrGAAABKVBMVEX%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2F%2FOe9X6AAAAYnRSTlMAAQIDBAcIDA0PEBESFBUWGBkeHyEoMTIzNDU4OTw%2BP0JGWVxeX2BiY2RlZ2hvc3R1eHp%2Bio%2BXm52epKmqq62usLe5wcLDxMnQ0dLW2tvc3t%2Fh4uPo6uvs8fLz9fb3%2Bvv8%2FsuNaVkAAAF7SURBVHgBjdHZW9NAFAXwE0iElCARZCkuIotEUFyQBSJERBEILaAo6d6e%2F%2F%2BPcO58aZslD%2Fxe5mHuPfOdbzA06R1e1sn65aE3ibw5v8OBjj%2BHNHuvx5Tenp1av2bOdSJkKWKBaAmxhYiFogVoEzckw%2BModXcckryZgDigsgLLu1MVT09V1TvPwgqVAyhlinmpMjsCZWRWCsxTlAEEFIvIWKQIALdLsYaMNYqui02KPw4ynN8Um%2FAp1pGzTuEj1Ek2cmz9dogmlVsUuKXSBEUFBaoUoKihQI0iPqaQMxWvnlOsImeV4hz7FBcmMswLin0sU9tBxg61ZYw3qB25SHhyRK0xDuySX179INtfN8qjOvz5u6BNjbsAplvsbVm%2FqAQGFOMb%2B1rTULZJPnsqf%2FMC2kv2bUOYZ%2BR3lLzPr0ehPWbszITmVsn3GCqRWtVFbKZC%2Fvz45tOj1EBlBgPOCUUpOXDiIMF4%2Bzc98G%2FDQNrY1tW9Bc26v%2Fowhof6D6AkqSgsdGGuAAAAAElFTkSuQmCC
:target: https://www.github.com/VUnit/vunit
:alt: Source Code on GitHub
.. |SHIELD:doc-vunit| image:: https://img.shields.io/badge/doc-vunit.github.io-blue.svg?style=flat
:target: https://vunit.github.io/documentation
:alt: Documentation on vunit.github.io
-
-.. |SHIELD:gl-p1076| image:: https://img.shields.io/badge/-IEEE--P1076-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAD%2FElEQVR42r2VR2gUURjHJ3oRUUwU9CCIWN7MBEQ8iAQbMWLsikY92BYLhiQmKxZiN4rYsaJELHFdC7ajBy8q4kFBJIoHQcWSaDTrJkbT3Ff8v0dmM5OZzCbZ4IMfW%2BYr%2F6%2B8Xa3t%2BZxlSnqAnvLzl6x0LdkjY1nxPkzWExqngNlgaTcktl5XglxV0FTT18ESUA6eggHqQfJCvoDq95P0DhkPBgI0glHdkHwi%2BAMaQHZH2rUNiBZyQUqSAg4DCjg42xGHdzYBD9VCTjG7mrw3eGKL9xyk%2BjmkA2EHyQclUX0G%2BGaLFwGZfu0%2FCkQbCpMQkO8Rb7Ofw1sPhzeWyE4m7wPueMS7D1K9qp8AfgLhwdAuVD8E1HrEagTDvRx2A9aOgJLOdgG2OUC0Q8Bh%2FCEjve%2BnTPP%2Bpymm8ALPyjvbgQTxHkubplNEU6cmoI%2F%2BucT4HMkxOGAe1FbNNvbBWS7V%2BkRUZBt50cU6846H7xYZormUpGlKxV2i0RtkGTtCBCsChW7oeiKiSwylHgn8UDaRhYbgQa9YoACcQMww2aAE0KukF7gJBN1NGC1QCZ3A8U9A5%2Fgj4YkEfMw0ReManbliABV7L3KEiEC%2B15aANFAPRYKWEU6LYZDvdm7OJfzbzAQCUH1FtmkV4aYY8S8BFAs4GCEFzAdCEQYX8SAInEFU%2B6oXGNy3%2Fag%2ButignskLEbOUMJnDJmCnFHAPCAdnCFroFlC3QmcYg9%2F8ecNqnXu2%2FgSh9JozD3ghBUSAcHGAuAL9zSOsYlr7Y%2Fg602ByVK7k%2B5H8ujsHqJcCckGN66Hchx2OTqjN%2FjHPYD7b7xxdPtiplo57JG8AxdYijgflDoMwkAuzgTD7GH4HdOp1HeUNqVuuM9i0Vr4F%2Fhc8k78C4zT7wRe9wW2XiPPqVnCbCIzAPYbK6SZvWmfrWBCctpbOQRnoV1dKHMnt7%2FPAL4eI45hhgeM2sLZdqJprcFZkm%2F9BwtrMvRps0to7sRDRWJhYIsaAh3HnENjXWh02nX3MdAr4tRTtL2qZ%2Bx7YOjf%2BEZjgKtjvwKg%2FKIkHuYzqtgIIiCFJZbbjn5PLG6KSb8drWXzuDOwFA1TMsK7FroxIlHgkGGuJ6AEyQE18H9DmGMYRyWkZA6iaY1BV%2FUbCYWMlrwXTm0PDUvyr9u%2BC9ZoKHqi2nlSjUP8NEMDlrx%2B2n7Kg2hVOw0rAM9DfitGIqrt8aCguoicowWJFsWCiaS3hlTNMLjugbsl%2BtbBR2XJ7Ackf9y3JgqinsRIivmPzv6P9dJda1JfoUJZ9lNbpfhFhMpCVkmM1q3RRn6d%2BsM7RWyTNbvtfzu%2BgHmg%2BRGbJ9%2Byqrv31q7r7u7Gy9fOZrlf8DwydcgOFUML4AAAAAElFTkSuQmCC
+
+.. only:: html
+ .. |SHIELD:gl-p1076| image:: https://img.shields.io/badge/-IEEE--P1076-323131.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAD%2FElEQVR42r2VR2gUURjHJ3oRUUwU9CCIWN7MBEQ8iAQbMWLsikY92BYLhiQmKxZiN4rYsaJELHFdC7ajBy8q4kFBJIoHQcWSaDTrJkbT3Ff8v0dmM5OZzCbZ4IMfW%2BYr%2F6%2B8Xa3t%2BZxlSnqAnvLzl6x0LdkjY1nxPkzWExqngNlgaTcktl5XglxV0FTT18ESUA6eggHqQfJCvoDq95P0DhkPBgI0glHdkHwi%2BAMaQHZH2rUNiBZyQUqSAg4DCjg42xGHdzYBD9VCTjG7mrw3eGKL9xyk%2BjmkA2EHyQclUX0G%2BGaLFwGZfu0%2FCkQbCpMQkO8Rb7Ofw1sPhzeWyE4m7wPueMS7D1K9qp8AfgLhwdAuVD8E1HrEagTDvRx2A9aOgJLOdgG2OUC0Q8Bh%2FCEjve%2BnTPP%2Bpymm8ALPyjvbgQTxHkubplNEU6cmoI%2F%2BucT4HMkxOGAe1FbNNvbBWS7V%2BkRUZBt50cU6846H7xYZormUpGlKxV2i0RtkGTtCBCsChW7oeiKiSwylHgn8UDaRhYbgQa9YoACcQMww2aAE0KukF7gJBN1NGC1QCZ3A8U9A5%2Fgj4YkEfMw0ReManbliABV7L3KEiEC%2B15aANFAPRYKWEU6LYZDvdm7OJfzbzAQCUH1FtmkV4aYY8S8BFAs4GCEFzAdCEQYX8SAInEFU%2B6oXGNy3%2Fag%2ButignskLEbOUMJnDJmCnFHAPCAdnCFroFlC3QmcYg9%2F8ecNqnXu2%2FgSh9JozD3ghBUSAcHGAuAL9zSOsYlr7Y%2Fg602ByVK7k%2B5H8ujsHqJcCckGN66Hchx2OTqjN%2FjHPYD7b7xxdPtiplo57JG8AxdYijgflDoMwkAuzgTD7GH4HdOp1HeUNqVuuM9i0Vr4F%2Fhc8k78C4zT7wRe9wW2XiPPqVnCbCIzAPYbK6SZvWmfrWBCctpbOQRnoV1dKHMnt7%2FPAL4eI45hhgeM2sLZdqJprcFZkm%2F9BwtrMvRps0to7sRDRWJhYIsaAh3HnENjXWh02nX3MdAr4tRTtL2qZ%2Bx7YOjf%2BEZjgKtjvwKg%2FKIkHuYzqtgIIiCFJZbbjn5PLG6KSb8drWXzuDOwFA1TMsK7FroxIlHgkGGuJ6AEyQE18H9DmGMYRyWkZA6iaY1BV%2FUbCYWMlrwXTm0PDUvyr9u%2BC9ZoKHqi2nlSjUP8NEMDlrx%2B2n7Kg2hVOw0rAM9DfitGIqrt8aCguoicowWJFsWCiaS3hlTNMLjugbsl%2BtbBR2XJ7Ackf9y3JgqinsRIivmPzv6P9dJda1JfoUJZ9lNbpfhFhMpCVkmM1q3RRn6d%2BsM7RWyTNbvtfzu%2BgHmg%2BRGbJ9%2Byqrv31q7r7u7Gy9fOZrlf8DwydcgOFUML4AAAAAElFTkSuQmCC
:target: https://gitlab.com/IEEE-P1076
:alt: Source Code on GitLab
-
-.. |SHIELD:tw-p1076| image:: https://img.shields.io/badge/-eda--twiki.org-orange.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAABmJLR0QA%2FwD%2FAP%2BgvaeTAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QIWAhImtwMY5AAAAB1pVFh0Q29tbWVudAAAAAAAQ3JlYXRlZCB3aXRoIEdJTVBkLmUHAAACwUlEQVRYw%2B1XTWgTQRT%2BZpOokURDg6GiaAwoCEX8QQpevFTQHgTBY8FrERSstKLePVSleLSH3qUFRSx48CR4CIJU8BC0WKqVULQiJsZmZ94%2BD83GJPOTDR68dGHZXYb3vu99882bWWDz%2Bs%2BXCF%2F408Au%2BD9GoaqXoIICFAQUAAWAGk%2FXTQCkAFSwhiAxD94%2BJS6vLEQiwOULp1B5Og9JGS2xbCEgDcDheOcYxQhb%2BibF2NdbTgL8%2BWQOv98sQlJaSyQ7qpeW6k0kQkWyR86Jq2%2Bf2wh4qK%2BMdgWXBnBpeaoWMpKB1dIztwKl9BL8Sl4DF6lXkMGyltT%2BruCrs%2FD9nJYre%2FCMuP3hhYlAHH5tvz5%2FAJL5B%2BL0u9leHM13My8h%2FZyWq1ot2KdAsdACFAAVxHpeUzZ%2F1Nc9BwHD3IXf%2F0og9A4Ja0jcCC4B8PfjPJuqNsfFtkUx8q3UlJvZw730eRBUI2YdvuzTTBrmdRIggwfqq%2BNQGG%2BuBtAkgBstsTHUfz1ur5j1FSEBJBBBAW2Nc8d0cKBFh4BdO6SLADk6XOs392A61YsCUcBVD6YzKeAwtOfs%2FW1O7kJAWjYtW6zmAVcFBCBwEJBdPKCimpAcVQkLARlxu3YSkBZg6pLAthWbVHWakAwHj04fmJopORSI2FXNCpBBjaBHBWQEBTf6gAdQ4PaATQFlUa6TmE9sJ4DkEmTlgBOcABD385XUMZAQUGBcP5To2v3CnIE3DOAhXxwUYq7I7QeS6d038bN8xwm%2B0QsYBO4Y89o2Hd%2BigAQwMDgl5opjeiOKZ6fBW9esFfxtRAISXgPUawO3kW7N97p4jQ%2FvfMQjw%2Fv0U%2FHM0X6U339BreZZk5gAQol9B7huygB7CvfFwseJ9v%2BCmaEMlosTUBhCfMcJqJY2TQ7DKccyNHVUBcAPgESSsDf%2FZPPXbPP6A13nhms8bYukAAAAAElFTkSuQmCC
+
+.. only:: html
+ .. |SHIELD:tw-p1076| image:: https://img.shields.io/badge/-eda--twiki.org-orange.svg?style=flat&logo=data%3Aimage%2Fpng%3Bbase64%2CiVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAABmJLR0QA%2FwD%2FAP%2BgvaeTAAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QIWAhImtwMY5AAAAB1pVFh0Q29tbWVudAAAAAAAQ3JlYXRlZCB3aXRoIEdJTVBkLmUHAAACwUlEQVRYw%2B1XTWgTQRT%2BZpOokURDg6GiaAwoCEX8QQpevFTQHgTBY8FrERSstKLePVSleLSH3qUFRSx48CR4CIJU8BC0WKqVULQiJsZmZ94%2BD83GJPOTDR68dGHZXYb3vu99882bWWDz%2Bs%2BXCF%2F408Au%2BD9GoaqXoIICFAQUAAWAGk%2FXTQCkAFSwhiAxD94%2BJS6vLEQiwOULp1B5Og9JGS2xbCEgDcDheOcYxQhb%2BibF2NdbTgL8%2BWQOv98sQlJaSyQ7qpeW6k0kQkWyR86Jq2%2Bf2wh4qK%2BMdgWXBnBpeaoWMpKB1dIztwKl9BL8Sl4DF6lXkMGyltT%2BruCrs%2FD9nJYre%2FCMuP3hhYlAHH5tvz5%2FAJL5B%2BL0u9leHM13My8h%2FZyWq1ot2KdAsdACFAAVxHpeUzZ%2F1Nc9BwHD3IXf%2F0og9A4Ja0jcCC4B8PfjPJuqNsfFtkUx8q3UlJvZw730eRBUI2YdvuzTTBrmdRIggwfqq%2BNQGG%2BuBtAkgBstsTHUfz1ur5j1FSEBJBBBAW2Nc8d0cKBFh4BdO6SLADk6XOs392A61YsCUcBVD6YzKeAwtOfs%2FW1O7kJAWjYtW6zmAVcFBCBwEJBdPKCimpAcVQkLARlxu3YSkBZg6pLAthWbVHWakAwHj04fmJopORSI2FXNCpBBjaBHBWQEBTf6gAdQ4PaATQFlUa6TmE9sJ4DkEmTlgBOcABD385XUMZAQUGBcP5To2v3CnIE3DOAhXxwUYq7I7QeS6d038bN8xwm%2B0QsYBO4Y89o2Hd%2BigAQwMDgl5opjeiOKZ6fBW9esFfxtRAISXgPUawO3kW7N97p4jQ%2FvfMQjw%2Fv0U%2FHM0X6U339BreZZk5gAQol9B7huygB7CvfFwseJ9v%2BCmaEMlosTUBhCfMcJqJY2TQ7DKccyNHVUBcAPgESSsDf%2FZPPXbPP6A13nhms8bYukAAAAAElFTkSuQmCC
:target: http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/WebHome
:alt: Documentation on eda-twiki.org