aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/ImplementationOfVHDL.rst
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-01-04 20:34:57 +0100
committertgingold <tgingold@users.noreply.github.com>2021-01-05 18:50:44 +0100
commiteeefd352b943e5c70bfb113a47d20f4e0c3c5014 (patch)
treea8cbe54c0ff3255e7d796dbf4450a5c26a294e29 /doc/using/ImplementationOfVHDL.rst
parent22f466ae02137b3cfc3e5de823455e596107176e (diff)
downloadghdl-eeefd352b943e5c70bfb113a47d20f4e0c3c5014.tar.gz
ghdl-eeefd352b943e5c70bfb113a47d20f4e0c3c5014.tar.bz2
ghdl-eeefd352b943e5c70bfb113a47d20f4e0c3c5014.zip
Fixed some ReST issues.
Diffstat (limited to 'doc/using/ImplementationOfVHDL.rst')
-rw-r--r--doc/using/ImplementationOfVHDL.rst12
1 files changed, 6 insertions, 6 deletions
diff --git a/doc/using/ImplementationOfVHDL.rst b/doc/using/ImplementationOfVHDL.rst
index 90b3a56c6..f53b04385 100644
--- a/doc/using/ImplementationOfVHDL.rst
+++ b/doc/using/ImplementationOfVHDL.rst
@@ -128,15 +128,15 @@ You can either use a default clock like this:
.. code-block:: VHDL
- default clock is rising_edge (CLK);
- assert always
- a -> eventually! b;
+ default clock is rising_edge (CLK);
+ assert always
+ a -> eventually! b;
or use a clocked expression (note the use of parentheses):
-.. code-block:: VHDL
+.. code-block::
- assert (always a -> next[3](b)) @rising_edge(clk);
+ assert (always a -> next[3](b)) @rising_edge(clk);
Of course only the simple subset of PSL is allowed.
@@ -201,7 +201,7 @@ PSL vunit files (VHDL-2008 / Synthesis only)
GHDL supports vunit (Verification Unit) files.
-.. code-block:: VHDL
+.. code-block::
vunit vunit_name (entity_name(architecture_name))
{