aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-01-18 08:02:15 +0100
committerTristan Gingold <tgingold@free.fr>2016-01-19 19:54:41 +0100
commit77ef3e82086b18c063b9b28032becb9ed3e7630e (patch)
treec4a20631e7eea012158538bd3d2cefe540c41b79 /README.md
parent2d50434bf757ef78d1514480e51e04770486dbfc (diff)
downloadghdl-77ef3e82086b18c063b9b28032becb9ed3e7630e.tar.gz
ghdl-77ef3e82086b18c063b9b28032becb9ed3e7630e.tar.bz2
ghdl-77ef3e82086b18c063b9b28032becb9ed3e7630e.zip
Create README.md from README.
Diffstat (limited to 'README.md')
-rw-r--r--README.md47
1 files changed, 47 insertions, 0 deletions
diff --git a/README.md b/README.md
new file mode 100644
index 000000000..ffed7af33
--- /dev/null
+++ b/README.md
@@ -0,0 +1,47 @@
+This directory contains the sources of GHDL, the VHDL simulator.
+
+GHDL is not an interpreter: it generates machine code from your design,
+the only way for high speed simulation.
+
+Main features are:
+- handle very large designs like leon3/grlib.
+- can write waveforms to a vcd or fst file.
+- available on Linux (x86 and x86-64), Windows and Mac OS x
+- partial support of PSL
+- support vunit (https://github.com/LarsAsplund/vunit)
+- support OSVVM (http://osvvm.org) through the VPI interface
+- support cocotb
+
+GHDL fully supports IEEE 1076-1987, IEEE 1076-1993, IEE 1076-2002 and
+partially the 1076-2008 version of VHDL.
+
+GHDL is free software. See the file COPYING for copying permission.
+The manuals, and some of the runtime libraries, are under different
+terms; see the individual source files for details.
+
+Doc is available on http://ghdl.readthedocs.org/en/latest/index.html
+
+Building GHDL (Short instructions)
+**********************************
+
+If you aren't on linux, see below for full instructions.
+
+You need GNAT GPL 2014 (or later) for x86 (32 or 64 bits). GNAT is the GNU Ada
+compiler and GNAT GPL is very easy to install (download anonymously from
+libre.adacore.com, untar and run the doinstall script). You also need
+zlib (for Debian or Ubuntu: install zlib1g-dev package).
+
+In the GHDL source directory, configure and build:
+$ ./configure --prefix=/usr/local
+$ make
+
+At that place, you can already use the 'ghdl_mcode' built in the directory.
+You can also install GHDL (the executable is installed as 'ghdl'):
+$ make install
+
+That's all!
+
+Build GHDL (Long instructions)
+******************************
+
+See BUILD.txt