aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-07-17 17:24:10 +0200
committerTristan Gingold <tgingold@free.fr>2016-07-17 17:24:10 +0200
commitb756e459180b888d5386bc5d646bc5ca6df65eb9 (patch)
tree505ce22ede419ba4a5738a92a34080f93ec1d431 /Makefile.in
parentd5c2e058c24a05f78f857f5aa4f3727de3a7fa79 (diff)
downloadghdl-b756e459180b888d5386bc5d646bc5ca6df65eb9.tar.gz
ghdl-b756e459180b888d5386bc5d646bc5ca6df65eb9.tar.bz2
ghdl-b756e459180b888d5386bc5d646bc5ca6df65eb9.zip
Makefile: add comments.
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in5
1 files changed, 5 insertions, 0 deletions
diff --git a/Makefile.in b/Makefile.in
index 66238f009..0aff825a1 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -313,11 +313,16 @@ ANALYZE_OPTS:=
ANALYZE:=$(GHDL) -a $(ANALYZE_OPTS) $(LIB_CFLAGS)
ANALYZE_DEP:=$(GHDL)
+# TODO?: don't include, make it separate
include $(srcdir)/libraries/Makefile.inc
+# TODO?: move std_standard in libraries/Makefile
ifeq "$(backend)" "gcc"
+ # ghdl with gcc backend is already installed, no need to specify ghdl1
+ # (and we don't know where it is).
STD_GHDL_FLAGS=
else
+ # Specify ghdl1 path, as it is spawned by ghdl.
STD_GHDL_FLAGS=--GHDL1=$(PWD)/ghdl1-$(backend)
endif